FPGA/CPLD, bolo: Pulzny generator

Jaroslav Buchta jaroslav.buchta na hascomp.cz
Pátek Srpen 16 06:27:55 CEST 2019


FPGA obcas pouziju a je to opravdu jina dimenze, taky mi kdysi dalo 
praci oprostit se od spatneho pocitu, ze nevim, co ktery bit ve streamu 
znamena... Ale uz si nedovedu predstavit, ze bych to chtel opravdu resit 
- u slozitejsich procesoru uz je to nakonec podobne, ne, ze by to v 
principu neslo, ale proc by to nekdo delal, zvlast, kdyz uz je tam 
nejaky OS atp...
Na Farmellu i Mouseru je dostupnych spousta FPGA bez problemu, na Ali a 
eBay ruzne kity za par desitek $, napr. moje oblibena rada Cyclone IV
https://www.aliexpress.com/item/32813061054.html?spm=a2g0o.productlist.0.0.707b3b40XLTRUg&s=p&algo_pvid=06846429-cc99-4e1f-b3de-92c5bd2f7590&algo_expid=06846429-cc99-4e1f-b3de-92c5bd2f7590-0&btsid=8ef56711-805e-4350-b5d0-c49661005efc&ws_ab_test=searchweb0_0,searchweb201602_10,searchweb201603_55

Jdou s tim delat uzasne veci i v takto zakladnim typu FPGA, spousta open 
source designu... Nechtel bych ani resit dratovani bunek, radsi popisu, 
co chci a jake podminky to ma splnovat a at se SW stara (zadarmo sice 
ocesany ale dostatecne funkcni).
Navic varianty s integrovanym nekolikajadrovym ARM procesorem (a 
spoustou pevne zadratovanych periferii vcetne ADC) vhodnym pro nasazeni 
Linuxu tomu davaji zas jinou dimenzi.
Na nejakou kombinacni logiku jako CPLD to fakt neni, to by bylo jako z 
dvoujadroveho Cortex A8 udelat casovy spinac na schodiste...
Ano nestoji to par korun a je pracne se to naucit.


Dne 15.08.2019 v 15:53 Petr Zahradník napsal(a):
> Já jsem s tím také začal u Xilinxu. V době, kdy byly CPLD na 5V. Pak přestali vyrábět varianty pro 5V a byly jen pro 3,3V. Dobře, to nebyl takový problém. Ale pak přestali dělat CPLD úplně a přestali je podporovat ve svém vývojovém prostředí (ano, v tom mastodontu). Takže mám hromadu CPLD 5V i 3,3V na nic. A pak jsem ještě pořídil něco k tomu CoolRunner, ale to už snad také není podporované. Takže to dopadlo stejně.
>
> Jinak já jsem od toho neočekával nějak moc, chtěl jsem to použít právě hlavně na nějakou kombinační logiku, kde mám nějaké vstupy a nějaké výstupy, v podstatě to jen přepíná. Mně se líbilo, že je to jeden šváb a dělá to to, co si řeknu. Ale abych tam dal jednoho švába, k tomu další tři stabilizátory, protože to potřebuje dvě až tři napětí, a ještě paměť pro program, to už mi teda vadí 😊 Bez ohledu na to, že životnost je několik let, protože potom skončí podpora a můžu hledat nové obvody.
>
> Petr Zahradník, počítačový expert
> e-mail: clexpert na clexpert.cz
> mobil: 602 409 601, telefony: 475 501 627, 910 256 000
> http://www.clexpert.cz, http://www.zahradniksebavi.cz
> Petr Zahradník, Computer Laboratory
> Obvodová 740/14, 400 07 Ústí nad Labem
>
> -----Original Message-----
> From: Hw-list <hw-list-bounces na list.hw.cz> On Behalf Of Jan Waclawek
> Sent: Thursday, August 15, 2019 3:35 PM
> To: hw-list na list.hw.cz
> Subject: Re: FPGA/CPLD, bolo: Pulzny generator
>
> [preposielam]
>
>
> Ahoj,
>
> dovolim si este par poznamok ku tejto teme.
>
> Svojho casu ma programovatelna logika zacala zaujimat ked boli
> novinkou cpld CoolRunner, kedze boli low-power... Co bola pre mna
> hlavna motivacia. Ale zistil som, ze 128 buniek nie je teda bohvie co,
> ked zacnes teoreticky robit obvody so 16-bitmi, citace, latche a tak.
> Velmi rychlo sa minu. Druhy problem bol nejaka moznost spajkovania na
> kolene, takze zo 128 clovek padol na 72 buniek... Tak som si okrem
> tych cpld v plcc44 obstaral aj dve fpga, nejaky letovatelny Spartan-2,
> za cca 5k Skk. Myslel som si, ze si ubastlim vlastnu dosku s malym mcu
> a cpld, resp. fpga. Nejakou hrou osudu ale z toho vsetkeho nebolo
> nic... Pak som si este kupil taku tu hotovu vyvojovu dosku od Avnetu,
> s Cypresom a Xilinxom, ale ta mala nejake problemy s obsluznym
> softwarom, takze to tiez lezi nepouzite kdesi v skrini...
>
> Este dalsi problem, ktory ste nanacali a to su tie molochy s
> kompilatormi VHDL, ktore su zvycajne propietarne. Myslim, ze len
> Lattice ma nejaky open source kompilator pre svoje cpld/fpga, ale to
> je tiez tak max. 3-4 roky do zadu. K tomu vsetkemu musi clovek este
> pozhanat programator, co je dalsia vyznamna polozka v rozpocte, teda
> aspon v pripade Xi... No a nakoniec sa to VHDL alebo Verilog musi
> clovek naucit, teda musi mat zrozumitelny a jasny popis jazyka a
> syntaxe. Ja viem su na to knizky... Ale v pripade cestiny-slovenciny
> si pre VHDL trebalo kupit tie tituly aspon dva, jeden s popisom jazyka
> a druhy s prikladmi, v pripade Verilogu som nasiel neanglicky titul
> len jeden... Takze ostane kamarat, ktory Vam ten jazyk vysvetli a
> ukaze na nejakom priklade ako sa robi s IDE a ako sa programuje vo
> VHDL resp. Verilogu. Ano este je tu moznost zaplatit si niekolko >1k
> Eurovych skoleni, kde Vas to kompletne naucia vratane tych 100ps
> nuansov, vsak Dano...!?
>
> A potom, ked clovek pre to doma najde nejaku zmysluplnu aplikaciu,
> zisti, ze tie cipy si nemoze kupit ani vo Farnelli, ako to bolo svojho
> casu, a potrebuje riesit akesi papierovacky...
>
> p.s.: Ak by niekto chcel tie dve Spartan-2 a tu dosku s
> Cypresom+Xilinx, rad to za nejaky rozumny peniaz posuniem dalej. Ja na
> fpga+vhdl uz naozaj nesiahnem a len mi na to doma pada zbytocne
> prach...
>
> A.
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list




Další informace o konferenci Hw-list