Spartan-3A a AN

milger milger na pobox.sk
Pondělí Prosinec 30 19:30:10 CET 2013


--Na co si dat pro zacatek pozor, projekt pro CPLD jde v pohode pro novy obvod
prelozit. Vse je soucasti procesu, tzn melo by byt synchorni.

Ja by som iba poznamenal, ze toto tvrdenie "/Vse je soucasti procesu, tzn melo by byt synchorni/" podla mna nie je spravne.
V procese mozu byt kludne popisane navzajom nesuvisiace, pripadne asynchronne signaly, napr. "LATCH".
Synchronnost navrhu je dana pouzivanim synchronneho (zjednodusene rovnakeho) hodinoveho signalu pre vsetky navzajom zavisle klopne obvody. To nie je ziadna oficialna definicia, prave som si to vymyslel a mozno je to neuplne...
Kazdopadne treba mat na pamati, ze sa je treba vyhnut rieseniam typu "LATCH", pisat vsetko podmienene hranou hodin (idealne jednych alebo aspon navzajom synchronnych), a tieto hodiny sirit v FPGA cez rychle globalne spoje "GBUFF" aby sa minimalizovali oneskorenia. Az potom sa da spolahnut na skutocnost, ze oneskorenie na spojoch medzi 2 klopnymi obvodmi moze byt do 1/Fclk. Toto sa da nadefinovat do routovacich poziadaviek a pokial to router vie dodrzat, nie su problemy s funkcnostou po preroutovani.


Milan


On 29. 12. 2013 14:52, hw na itherm.cz wrote:
> Zdravim konferu,
>
> Rozumim dobre tomu ze rozdil mezi A a AN je ze AN obsahuje vnitrni FLASH?
> Dosud jsem delal jen s CPLD aktualni projekt se do nej uz nevejde (vesel by
> se do vetsiho, ale za stejne prachy je i FPGA), tak chci prejit na neco
> vetsiho (XC3S50AN)
>
> Jak poznam kolik je jeste v FPGA mista, u CPLD jsem mel report a bylo to
> poznat, co u FPGA?
>
> Na co si dat pro zacatek pozor, projekt pro CPLD jde v pohode pro novy obvod
> prelozit. Vse je soucasti procesu, tzn melo by byt synchorni.
>
> Peknou nedeli
>
> Pavel
>
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list

------------- další část ---------------
HTML příloha byla odstraněna...
URL: <http://list.hw.cz/pipermail/hw-list/attachments/20131230/8dee3f78/attachment.html>


Další informace o konferenci Hw-list