oscilator

Pavel hw@itherm.cz
Neděle Březen 7 14:10:32 CET 2010


tak jsem to uz rozchodil, ale stele nejsem spokojeny s tim jak to chodi.
oscilator jsem postavil na 74LVC1G04 (a 74LS14 za nim), vystup je celkem pekny, ale nenabehne to pokazde na prvni zapnuti, obcas pomuze sahnout na hodiny co jdou co CPLD, obcas pomuze vypnout zapnout, otazka je cim to muze byt.

Prozatim diky vsem za rady

sehnal jsem i schema toho co je na tom pinu oscilatoru


----+----------------+--- 5v 
   .|,               |
   | |               |
   | | 3K7           |
   |_|               |
    |                |
    |______________|/
    |   P39        |\
     \|__@__-----____|____> Out
     /|  |  -----    |
    |    |  500R    .-.
    |    |          | |
    |  -----        | |
    |   === 14MHz   |_|
    |  -----         |
    |    |           |
    |  --+--         |
    |  --+-- 30pF    |
    |    |           |
    |    |           |
----+----+-----------+----- 0v
------------- další část ---------------
HTML příloha byla odstraněna...
URL: <http://list.hw.cz/pipermail/hw-list/attachments/20100307/a507d0b6/attachment.htm>


More information about the Hw-list mailing list