Spartan 3 + 3stavove sbernice

balu@home daniel.valuch@orange.fr
Pátek Červen 12 08:04:05 CEST 2009


ano, koli bugu v navrhovom software sme snicili 3 Virtex4 cipy. Vo 
vnutri to nejak nadratovalo 32 bitove zmernice paralelne. Problem ale 
nastal len vo velmi specifickom pripade, takze fpga zhorelo len po 
aktivacii niektorych funkcii :-))
b.



Milan wrote:
> Spartan pokial viem nema vnutorne 3st. zbernice. Naposledy to mala rodina 
> 52xx tusim a nebolo to bohvie co.
> Dovod: nespravnym navrhom ste obvod pretazoval vnutorne (2 vystupy s opacnou 
> urovnou).
> Spartan to riesi tak ze 3st. zbernice pretransformuje na logiku a vypise 
> varovanie tusim.
> Takze ak pisete vo VHDL, pokojne sa da pouzivat stav "Z" priklad:
> 
>   DAT_OUT <= data  when (CE='1')and(ADR=X"E") else "ZZZZZZZZ";
> 
> Na vonok je nutne pouzit 1 3st buffer:
> 
> component OBUFT_PCI33_5
>  port(
>   I:in std_logic;
>   T:in std_logic;
>   O:out std_logic
>   );
> 
> a signalom T riadit jeho 3st.vystup pre celu vnutornu zbernicu ktora sa 
> kompletne pretransformuje na logiku.
> Este raz podotknem ze nepozna vnutorne 3.stav.
> 
> Milan




Další informace o konferenci Hw-list