VHDL - obousmerna sbernice

Milan milger@pobox.sk
Pátek Duben 21 12:45:50 CEST 2006


Nedoporucujem, treba si dat tu pracu a napisat to komplikovanejsie.

Milan
----- Original Message ----- 
From: "Petr Sremr" <petr.sremr@hwserver.cz>
To: "HW-news" <hw-list@list.hw.cz>
Sent: Friday, April 21, 2006 12:41 PM
Subject: Re: VHDL - obousmerna sbernice


> Existuje aj priamy zapis 3 stavu vo VHDL 'Z' ale to nie je efektivny 
> sposob:
>  if (adr=1) and (RD='0') then
>     BUS <= OBUS1;
>  elsif (adr=2) and (RD='0') then
>     BUS <= OBUS2;
>   else
>     BUS <= 'ZZZZZZZZ';
>  end if;

O neco takoveho jsem se tenkrat snazil, ale dopadlo to tak, jak to
dopadlo :-(

--
Petr Sremr
HW group
_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list









__________ Informacia od NOD32 1.1499 (20060421) __________

Tato sprava bola preverena antivirusovym systemom NOD32.
http://www.eset.sk





Další informace o konferenci Hw-list