VHDL dotaz

Jiri Beranek hw_konference@profisite.cz
Pátek Duben 14 14:35:46 CEST 2006


To si nejsem jisty, ale pravdepodobne ano.

J.Ber

----- Original Message ----- 
From: "Hynek Sladky" <econf@centrum.cz>
To: "HW-news" <hw-list@list.hw.cz>
Sent: Friday, April 14, 2006 2:29 PM
Subject: Re: VHDL dotaz


>Jestli to tedy spravne chapu, pak logicka rovnice, ve ktere jsou ruzne 
>typy, se musi opsat pomoci podmineneho prikazu "if" ?


>Hynek Sladky







Další informace o konferenci Hw-list