VHDL dotaz

Hynek Sladky econf@centrum.cz
Pátek Duben 14 14:29:01 CEST 2006


Ano, nWR se proste tak jmenuje (podle schematu...)

Diky za pomoc, o vikendu to doma vyzkousim.

Jestli to tedy spravne chapu, pak logicka rovnice, ve ktere jsou ruzne 
typy, se musi opsat pomoci podmineneho prikazu "if" ?


Hynek Sladky


Jiri Beranek napsal(a):
> Me se zda, ze nWR neni zamysleny jako ze se ma automaticky invertovat,
> proste
> se ten signal jenom tak jmenuje. Je to pravda?
> 
> J.Ber
> 
> 
>>Niesom si uplne isty ci funguje len jednoduche zadanie nWR tak ako v
>>programovacich jazykoch. Skuste pouzit celu podmienku
> 
> 
>>if nWR='0' and ADDR = "00000" then
> 
> 
>>b.
> 
> 
> Hynek Sladky wrote:
> 
>>Zacal jsem se ucit VHDL, ale nedari se mi prijit na to, jak spravne
>>napsat nasledujici rovnici (problem je zrejme s typem vysledku porovnani
>>ADDR, protoze pokud tu cast vypustim, preklad probehne OK):
>>
>>WRD <= CS(3) and nWR and (ADDR = "00000");
>>
>>kde
>>
>>signal WRD: std_logic;
>>nWR: in std_logic;
>>CS : in std_logic_vector(3 downto 0);
>>ADDR : in std_logic_vector(4 downto 0);
>>
>>Jake reseni pouzivaji profici? :-)



Další informace o konferenci Hw-list