CPLD syntax

David Belohrad david.belohrad@cern.ch
Středa Červenec 20 16:47:47 CEST 2005


zadeklarujte count_out jako std_logic_vector stejne jako count_in



Další informace o konferenci Hw-list