Třístavový buffer v CPLD

Daniel Valuch daniel.valuch@wanadoo.fr
Sobota Červenec 2 12:13:55 CEST 2005


tak som sa tesil ze vyuzijem znalosti z minulotyzdnoveho intenzivneho 
kurzu VHDL a nic :-)
b.


Zdenek_Z wrote:

>Zdravim,
>jak lze v ABELu nadefinovat tristavovy budic sbernice s prepinanim 
>smeru, neco jako 74xx245 ? Nejak se mi pri patku nedari na to 
>prijit...Bylo by to pro Xilinx XC9536.
>Diky, Zdenek
>
>  
>






Další informace o konferenci Hw-list