Re: Třístavový buffer v CPLD

Zdenek Aster zdenek.aster@seznam.cz
Pátek Červenec 1 19:59:00 CEST 2005


Zkusim, ale cpld uz jsem nevidel nekolik, let
snad Vam to nekdo upresni, ja jen zkusim neco
zacnou snad Vam to pomuze.
A1-jedna strana B1 druha
S - rizeni smeru

when (S==1) then  {
  A1=B1;
  B1.OE = 0;
  A1.OE = 1;
} else
  B1=A1;
  B1.OE = 1;
  A1.OE = 0;
}

Neco takoveho samozrejme pokud to ma byt synchroni,
tak clock a podobne voloviny, nezabijej te me za nepresnosti,
fakt jsem to dlouho nevidel, ale snad to pomuze na odstuch.

Zdenek Aster

----- Original Message ----- 
From: "Zdenek_Z" <zexy@centrum.cz>
To: "HW-news" <hw-list@list.hw.cz>
Sent: Friday, July 01, 2005 7:41 PM
Subject: Třístavový buffer v CPLD


Zdravim,
jak lze v ABELu nadefinovat tristavovy budic sbernice s prepinanim
smeru, neco jako 74xx245 ? Nejak se mi pri patku nedari na to
prijit...Bylo by to pro Xilinx XC9536.
Diky, Zdenek

_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list 





Další informace o konferenci Hw-list