vhdl

pavel prochazka hacesoft
Středa Březen 17 12:02:21 CET 2004


Dobry den,

potreboval bych poradit jak mam ve vhdl pro xilinx cpld obvod napsat obousmernou zbernici.

Na vyvodech IO mam obousmernou branu A a B. A pomoci nejake logiky ovladat tok dat jednou z A na B a jindy B na A. Zatim vsechny moje pokusi nefungovali. Fungovalo to je jednim smerem a to kdyz A bylo vstupni a B vystupni. Tak to perfekne slapalo z A na B. 

Dik za kazde info.

Pavel Prochazka
hacesoft@mujmail.cz
www.prochazka.zde.cz

---
EMAIL.CZ - Vase posta je vzdy s Vami
http://www.email.cz








Další informace o konferenci Hw-list