VHDL dotaz

Milan milger@pobox.sk
Pátek Duben 14 15:06:22 CEST 2006


Ono to ide aj bez procesu, pre ciste kombinacnu logiku:
WRD <= '1' when (CS(3)='1' and nWR='1' and (ADDR = "00000")) else '0';

Milan

----- Original Message ----- 
From: "Jiri Beranek" <hw_konference@profisite.cz>
To: "HW-news" <hw-list@list.hw.cz>
Sent: Friday, April 14, 2006 2:13 PM
Subject: Re: VHDL dotaz


Ja bych to udelal asi nasledovne:

process (CS(3) ,nWR,ADDR)
begin
 if (CS(3)='1' and nWR='1' and ADDR = "00000") then
 WRD <= '1';
 else
  WRD <= '1';
 end if;
end process;

J.Ber


>Hynek Sladky wrote:
> Zacal jsem se ucit VHDL, ale nedari se mi prijit na to, jak spravne 
> napsat nasledujici rovnici (problem je zrejme s typem vysledku porovnani 
> ADDR, protoze pokud tu cast vypustim, preklad probehne OK):
>
> WRD <= CS(3) and nWR and (ADDR = "00000");
>
> kde
>
> signal WRD: std_logic;
> nWR: in std_logic;
> CS : in std_logic_vector(3 downto 0);
> ADDR : in std_logic_vector(4 downto 0);
>
> Jake reseni pouzivaji profici? :-)
>
> Diky,
> Hynek Sladky
>   


_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list

_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list









__________ Informacia od NOD32 1.1489 (20060414) __________

Tato sprava bola preverena antivirusovym systemom NOD32.
http://www.eset.sk





Další informace o konferenci Hw-list