Re: Návrhářem FPGA za 21 dní

Jaromir Sukuba jarin.hw na gmail.com
Pondělí Říjen 26 23:25:22 CET 2015


Dovolim si zopar postrehov od seba:

S FPGA som zacinal asi 5 krat. Prvykrat okolo roku 2004, potom vzdy s
periodou rok-dva. Bolo mi zhruba jasne ako FPGA pracuje, co je
bitstream, ze HDL je nieco uplne ine ako C alebo assembler, dokonca
som si urobil jednoduche navrhy tym, ze som maloval schemy - ale vzdy
som sa zasekol na jazyku VHDL. Skratka mi to neslo cez hubu, dokonca
aj s tou knizkou co ste spominali.
Posledny krat som na zaklade nejakeho odporucenia skusil jazyk Verilog
a mal som pocit ako ked sa clovek dostane z tmy do svetla. Vsetko mi
pripadalo byt o kus jednoduchsie, prehladnejsie a s FPGA som uz
viackrat nemusel zacinat, usadil som sa na kombinacii Altera +
Verilog.  Staci porovnat - http://www.fpga4fun.com/FPGAsoftware2.html

Cela stranka http://www.fpga4fun.com je velmi uzitocna a najdete tam
odpovede na mnohe zo svojich otazok.

Inak, zalezitost Verilog vs. VHDL je oblubena tema flamewarov. Nieco
ako linux/windows, vi/emacs, mac/PC, krestanstvo/ateizmus. Super tema
na piatok.

Ak je clovek zvyknuty na jednocipy - treba sa pripravit na to, ze
vyvojove prostredia su OBROVSKE (~10GB, ~10^5 suborov - zlate
polgigove java molochy) a kompilacie aj nevelmi zlozitych veci trvaju
relativne dlho. Je mozne, ze FPGA s jadrom ake boli bezne pred 5-10
rokmi v novych vyvojovych prostrediach nenajdete (kvoli tomu opatrujem
Quartus 13 pre Cyclone II). Ak je clovek zvyknuty, ze MCU z roku 1994
je podporovany v najnovsej verzii IDE / komplilatora, musi sa zmierit
s tym, ze u programovatelnej logiky cas plynie trochu rychlejsie.

Mnohe veci su hmlistejsie/slabsie zdokumentovane oproti jednocipom,
resp. mate informacie, ktore nevyhnutne potrebujete na aplikaciu, nic
viac. Nastroje vypluju binarny bitstream a vy neviete co je v nom.
Drvivej vacsine uzivatelov to zrejme staci / su zvyknuti a netvrdim ze
je to nespravne. Clovek, ktory je zvyknuty na GCC, rypanie v mapfile
alebo disasemblovanie z cvicnych dovodov - utrpi kulturny sok.
Az do doby spred niekolkych mesiacov neexistovali open-source
nastroje, teraz testujem toto http://www.clifford.at/icestorm/ a
funguje to napodiv celkom dobre. Ono aj ta opensourcovost je dana tym,
ze tamten clovek urobil reverse engineering bitstreamu, lebo
vyrobcovia si to strazia ako naivna sedemnastka.


2015-10-26 20:54 GMT+01:00 Petr Labaj <labaj na volny.cz>:
> Rád bych si rozšířil obzory a chtěl bych si sáhnout na programovatelný HW.
> Přece jen je dost oblastí, kde se rychlost a paralelismus hodí, a ceny FPGA
> už jsou dneska celkem snesitelné i mimo státní/neziskový sektor.
> Začal jsem tím, že jsem si na záchod položil knížku "Číslicové systémy a
> jazyk VHDL",
> kterou jsem si kdysi dávno koupil.


Další informace o konferenci Hw-list