CPLD stale v tom plavu :-)

Ondrej leguanolog@seznam.cz
Pondělí Červenec 6 19:24:53 CEST 2009


Pro TestBench ve VHDL je to dosti primitivní úloha (viz níže - nutné 
upravit). Nicméně tohle je IMO naprostý základ ve VHDL a to bychom se 
také mohli dostat k tomu, jak udělat TB ve VHDL a odsuď je jen krůček ke 
kurzu VHDL přes e-mailovou konferu a toho nevím, jestli je tady někdo 
schopen a ochoten se chopit.

tb : PROCESS
   BEGIN
      RESET <= '1';
      wait for 100 ns;
      RESET <= '0';
      wait for 300 ns;
   END PROCESS;

OH

BTW: Naprosto cool způsob generování hodin: "CLK <= not CLK after 20 ns;"



j.Krajinka@seznam.cz napsal(a):
> ad 1) Zkuste "post fit" simulaci.
>
> Jak se u simulace definuje vstupni signal (signal, ktery leze do CPLD zvenku)?
> Priklad, chci, aby mi na vstup lezl kazdych 750ps 250ps pulsik.
>
> Nebo jinak, mohu si rucne v presne definovany cas nahodit In rucne a po 250ps shodit?
>
> Dekuji
> Jirka
>
>
>
>
>
>
>
>
>   
>> Instalace ISE 10.1 na 64bit Vista je problém, ale řešitelný. Už si 
>>     
> nepamatuji přesně co pomohlo, ale na webu (i xilinxu) je na to návod. 
> Pomohlo instalaci pustit jako správce a zadat tam nějaký parametr či co.
>
> ad 1) Zkuste "post fit" simulaci.
> ad 2) Kreslení schémat - to je návrat do 70. let či co? Tenhle způsob 
> tvorby je dnes (oprávněně) zavrhovaný a nevidím jediný smysl v jeho studiu.
>
> j.Krajinka@seznam.cz napsal(a):
>   
>> Tak jsem uspesne implementoval 32bit citac, cestou jsem si myslel cosi velmi nepekneho o programatorech firmy Xilinx, ale ydarilo se. Nicmene stale nema v hoodne vecich jasno.
>>
>> 1.Doposud jsem nikde nezjistil  jak je to s reakcnimi casy, vsude se uvadi napriklad zpozdeni pin to pin 15.5ns, ale nikde jsem se nedozvedel jake jsou reakcni casy napriklad v tomto prikladu. Do 9500Xl implementujeme jedno XOR hradlo, jaky minalni rozdil mezi signaly na vstupu bude umet toto hradlo zachytit nebo jinak jaky nejkratsi pulsik se muze objevit na vystutpu takoveho  hradla?
>> Myslel jsme, ze tuto informaci vyzdimu z simulatoru v ISE , ale na prvni pohled to nejde, respektive ja na to neprisel.
>>
>> 2. Zkousel jsem tvorbu zapojeni pomoci kresleni schemat a vsiml jsem si , ze kdyz vybelu treba Cool runner nebizi se mi pri kresleni naporiklad delicka, kdyz se o stejne pokusim pro obvod 9500XL, delicky se nenabizi. Proc? Vsak delicku lze realizovat i v 9500xl tak proc pro ni neni symbol v kreslitku schemat?
>>
>> Na zaver snad jen par postrehu z instalace ISE 10.1. Pokousel jsem se to nainstalovat pod Vista 64 a dokonce se mi ani nespustil setup. Vzdal jsem to a nainstaloval na zalozni WinXP, kupodivu nainstalovany ISE pak jde spustit i pod Vistama. Predpokladal jsem, ze kdyz je nejaky sw ve verzi 10.1, bude funkcn a bezblemovy. Kupodivu behem tvorby prvniho projektu jsem narazil hned na 4 chyby ktere znemoznovali dalsi praci, vse vyresil az update na SP3. Teda  update, na PC s Intel i7 dokazal update natolik zamestnat sve okno, ze se nprekreslovalo moho minut, pak se spustil update a pri 5 pokusech neprelezl 22%. Ztratil jsme trpelivost a stahl update rucne, ma uzasnych 2.8GB.  
>>
>> Jirka
>>     
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list@list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list
>
>   

-- 
Jabber: Iguaner@jabber.cz
ICQ: 122712466

---------------------------------------------------
|                                                 |
|       IKORAS - My home-made MP3 player          |
|       http://ikoras.iglu.cz                     |
|                                                 |
--------------------------------------------------- 




Další informace o konferenci Hw-list