VHDL / Xilinx

Milan milger@pobox.sk
Pondělí Únor 9 07:48:48 CET 2009


asi by to chcelo ukazat SRC...

Milan
----- Original Message ----- 
From: "Marek Sembol" <hwm.land@gmail.com>
To: "HW-news" <hw-list@list.hw.cz>
Sent: Sunday, February 08, 2009 8:11 PM
Subject: VHDL / Xilinx


Zdravim,
zacinam se subj. (joj, jde to ztezka...) a vyskakuje na mne nasledujici 
hlaska:
WARNING:Xst:1426 - The value init of the FF/Latch State_FFd1 hinder
the constant cleaning in the block Main.

Poradi nekdo jak dohledat pricinu, jak se toho zbavit a co to vlastne
zpusobuje? Info k teto hlasce na strankach xilinxu jsem cetl, ale
nepomohlo mi to:(
Marek
_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list






Další informace o konferenci Hw-list