vhdl: or vsech signalu

dejfson dejfson@gmail.com
Neděle Říjen 21 22:19:27 CEST 2007


jo, o to v podstate slo. reseni uvedene v predchozich mailech funguje
uspokojive, samozrejme je nutne pocitat s tim ze vystup je or hradlo, tedy
kdyz jej neregistrujete nekde jinde v kodu tak je mozne (i kdyz ne jiste) ze
se na vystupu budou vyskytovat glitche. nicmene na rozsviceni led neni
potreba D-latchem plytvat.

co je tyce optimalizace kodu jak tady nekdo zminoval, neni pravda ze
kompilatory generuji optimalni kod. je potreba se nad nim zamyslet. typickym
pripadem je napriklad kdyz napisete VHDL kod pro citac. Kdyz jej napisete
dobre, vygeneruje se napr. ve vyslednem obvodu u altery typ LPM_COUNTER,
ktery je optimalni verzi citace (pouze registry plus par hradel). Kdyz jej
napisete spatne, dostanete ve vysledku soustavu registru, hradel _A_ jednoho
adderu. Takova implementace citace ovsem dosahuje az o 30% mensi rychlosti
nez originalni LPM_COUNTER. V pripade implementace matematickych operaci je
treba myslet dvojnasobne protoze analyza/kompilace kodu se provadi temer tak
jak je ve vhdl matematicka operace zapsana
d.
------------- další část ---------------
HTML příloha byla odstraněna...
URL: http://list.hw.cz/pipermail/hw-list/attachments/20071021/ed28bcb0/attachment-0002.htm 


Další informace o konferenci Hw-list