vhdl: or vsech signalu

dejfson dejfson@gmail.com
Pátek Říjen 19 20:42:26 CEST 2007


:) to byla zadost o pomoc. akorat jsem na to prisel driv nez mi nekdo stacil
odpovedet. pravdepodobne mame ten vysledek stejny. ja jsem totiz v tom for
cyklu pouzil LEDCnt'length-1 downto 1 misto LEDCnt'range
------------- další část ---------------
HTML příloha byla odstraněna...
URL: http://list.hw.cz/pipermail/hw-list/attachments/20071019/a91cbf42/attachment-0002.htm 


Další informace o konferenci Hw-list