VHDL - obousmerna sbernice

Petr Sremr petr.sremr@hwserver.cz
Pondělí Duben 24 23:00:51 CEST 2006


Zdravim,

> Pochopil som dobre , nefuguje to  alebo co vlasne odomna chces?

Omlouvam se, ze jsem dotaz spatne specifikoval. Me slo hlavne o ty 
varovani.

Jednobitovy pripad s pouzitim IBUF a OBUFE mi funguje dobre. Pokusil 
jsem se to rozsirit na 8 bitu (IBUF8, OBUFE8). Docetl jsem se, ze
IBUF a OBUFE jsou primitivy a IBUF8 a OBUFE8 jsou makra. Ale vypada to, 
ze ty makra neexistuji. Mam pocit, ze si ty komponenty budu muset 
vytvorit sam... :-(

Dostavam hlaseni:

ERROR:NgdBuild:604 - logical block 'input' with type 'ibuf8' is unexpanded.
    Symbol 'ibuf8' is not supported in target 'xc9500xl'.
ERROR:NgdBuild:604 - logical block 'output' with type 'obufe8' is 
unexpanded.
    Symbol 'obufe8' is not supported in target 'xc9500xl'.

Pokud projekt "prelozim" pro CoolRunner, dostanu stejne hlaseni "Symbol 
'obufe8' is not supported in target 'xcr'."

Kde by mohl byt problem?

> - signal "en" bude realizovany iba kombinacnou logikou. V jednoduchom CPLD 
> to nevadi, pri vacsich FPGA by si mal problem, chcelo by to hodiny.

V cem by ten problem na FPGA mohl byt. Hodiny budu mit, minimalne 20MHz.

--
Petr Sremr
HW group



Další informace o konferenci Hw-list