VHDL dotaz

Tomáš Dresler dresler@hw.cz
Neděle Duben 16 02:00:21 CEST 2006


Tak to je spatny programator, pokud je bezradny ;) Ja se zivim opravami a
updaty ruznych SW cca 6 let a urcitou praxi v tom mam; neverili byste, co
programatorskych stylu clovek vystrida, kdyz dela support pro Francouze,
Italy, Nemce apod. :)

--
S pozdravem/with best regards

    Ing. Tomáš Dresler

mailto:edison@hw.cz
http://edisonovo.info

> -----Original Message-----
> From: hw-list-bounces@list.hw.cz 
> [mailto:hw-list-bounces@list.hw.cz] On Behalf Of Marek Pavlu
> Sent: Saturday, April 15, 2006 9:52 PM
> To: 'HW-news'
> Subject: RE: VHDL dotaz
> 
> 
> Zdravim, 
> 
> Tak presne to jsem chtel slyset. Je teda pisu v C/C++, ale 
> opravdu nemam rad takove ty "kodovane" programy, ve kterých 
> se prase nevyzna a autor je vetsinou po pul roce uplne bezradny:)...
> 
> S pozdravem, 
>                 Marek Pavlu 
> 
> //  -----Original Message----- 
> //  From: hw-list-bounces@list.hw.cz 
> [mailto:hw-list-bounces@list.hw.cz] On 
> //  Behalf Of balu@home 
> //  Sent: Saturday, April 15, 2006 8:00 PM 
> //  To: HW-news 
> //  Subject: Re: VHDL dotaz 
> //  
> //  nie som expert na prekladanie VHDL ale jedna z hlavnych 
> veci ktore sa 
> //  nas snazili naucit na kurze VHDL bolo pouzivanie 
> standardnych sablon pre
> 
> //  standardne funkcie. Prekladac v kode hlada tieto 
> "patterns" a podla toho
> 
> //  vie optimalizovat. 
> //  Prednedavnom som robil male CPLD, viacmenej len 3 osem 
> bitovove latche a
> 
> //  jednoduchy adresny dekoder. Nieco som nakodoval a 
> Synplify to prelozil 
> //  do asi 300 hradiel co bol absolutny nonsens. Logicky to fungovalo 
> //  spravne. Potom som sa s tym trochu pohral, pozrel si 
> nejake priklady ako
> 
> //  sa koduju latche a prelozilo sa to do 24 klopnych obvodov a asi 5 
> //  hradiel pre adresovy dekoder. Jednoduchsie sa to urobit 
> naozaj nedalo. 
> //  Takze minimalne moj osobny zaver je ten, ze pouzivat 
> standardne sablony 
> //  vyrazne pomoze spravnemu prekladu kodu na spravny 
> hardware. Ano, da sa 
> //  napisat vsetko do jedneho riadku ako su zvyknuti niektori 
> programatori v
> 
> //  C, ale toto obycajne vedie sice k funkcnemu ale maximalne 
> neoptimalnemu 
> //  prekladu. 
> //  Nepotrebujem si nic dokazovat ze viem napisat adresny 
> dekoder na jeden 
> //  riadok a pouzijem pri tom len 23 pismen. Rad ho napisem na 8, ale 
> //  prekladac presne pochopi co som chcel povedat :-) 
> //  b. 
> //  
> //  
> //  Marek Pavlu wrote: 
> //  > Zdravim, 
> //  > 
> //  > A není to nakonec po zjednoduseni a synteze jedno, 
> jestli tam je jeden
> 
> //  > jednoduchy proces, ktery dela tu samou funkci jako 
> tento Vas kod? 
> //  > 
> //  > Ja nevim, nerypam, fakt se jen ptam:). 
> //  > 
> //  > Otazka asi zni takto, jaky efekt ma n-procesu s 
> jednoduchymi cinnostmi
> 
> //  > konajícími nejakou funkci a nebo treba jen jeden proces 
> zastavajici 
> //  vse, ale 
> //  > radne slozity? 
> //  > 
> //  > Pripada mi, ze jednodussi "programove" konstrukce se 
> museji lepe 
> //  > zjednodusovat, nez slozite konstrukce naskladane do 
> jednoho procesu? 
> //  > 
> //  > Tohle je otazka, na kterou se jiz zde chci zeptat delsi dobu:). 
> //  > 
> //  > 
> //  > S pozdravem, 
> //  >                 Marek Pavlu 
> //  > 
> //  
> //  
> //  _______________________________________________ 
> //  HW-list mailing list  -  sponsored by www.HW.cz 
> //  Hw-list@list.hw.cz 
> //  http://list.hw.cz/mailman/listinfo/hw-list 
> 
> 
> 
>   _____  
> 
> avast! Antivirus <http://www.avast.com>  : Odchozi zprava cista. 
> 
> 
> Virova databaze (VPS): 0615-3, 14.04.2006
> Testovano: 15.4.2006 21:52:05
> avast! - copyright (c) 2000-2003 ALWIL Software.
> 
> 
> 
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz 
> Hw-list@list.hw.cz http://list.hw.cz/mailman/listinfo/hw-list
> 




Další informace o konferenci Hw-list