VHDL dotaz

Marek Pavlu pavlu@HWserver.cz
Pátek Duben 14 22:39:31 CEST 2006


Zdravim, 

A není to nakonec po zjednoduseni a synteze jedno, jestli tam je jeden
jednoduchy proces, ktery dela tu samou funkci jako tento Vas kod?

Ja nevim, nerypam, fakt se jen ptam:). 

Otazka asi zni takto, jaky efekt ma n-procesu s jednoduchymi cinnostmi
konajícími nejakou funkci a nebo treba jen jeden proces zastavajici vse, ale
radne slozity?

Pripada mi, ze jednodussi "programove" konstrukce se museji lepe
zjednodusovat, nez slozite konstrukce naskladane do jednoho procesu?

Tohle je otazka, na kterou se jiz zde chci zeptat delsi dobu:). 


S pozdravem, 
                Marek Pavlu 

//  -----Original Message----- 
//  From: hw-list-bounces@list.hw.cz [mailto:hw-list-bounces@list.hw.cz] On 
//  Behalf Of Milan 
//  Sent: Friday, April 14, 2006 3:06 PM 
//  To: HW-news 
//  Subject: Re: VHDL dotaz 
//  
//  Ono to ide aj bez procesu, pre ciste kombinacnu logiku: 
//  WRD <= '1' when (CS(3)='1' and nWR='1' and (ADDR = "00000")) else '0'; 
//  
//  Milan 
//  
//  ----- Original Message ----- 
//  From: "Jiri Beranek" <hw_konference@profisite.cz> 
//  To: "HW-news" <hw-list@list.hw.cz> 
//  Sent: Friday, April 14, 2006 2:13 PM 
//  Subject: Re: VHDL dotaz 
//  
//  
//  Ja bych to udelal asi nasledovne: 
//  
//  process (CS(3) ,nWR,ADDR) 
//  begin 
//   if (CS(3)='1' and nWR='1' and ADDR = "00000") then 
//   WRD <= '1'; 
//   else 
//    WRD <= '1'; 
//   end if; 
//  end process; 
//  
//  J.Ber 
//  
//  
//  >Hynek Sladky wrote: 
//  > Zacal jsem se ucit VHDL, ale nedari se mi prijit na to, jak spravne 
//  > napsat nasledujici rovnici (problem je zrejme s typem vysledku 
//  porovnani 
//  > ADDR, protoze pokud tu cast vypustim, preklad probehne OK): 
//  > 
//  > WRD <= CS(3) and nWR and (ADDR = "00000"); 
//  > 
//  > kde 
//  > 
//  > signal WRD: std_logic; 
//  > nWR: in std_logic; 
//  > CS : in std_logic_vector(3 downto 0); 
//  > ADDR : in std_logic_vector(4 downto 0); 
//  > 
//  > Jake reseni pouzivaji profici? :-) 
//  > 
//  > Diky, 
//  > Hynek Sladky 
//  > 
//  
//  
//  _______________________________________________ 
//  HW-list mailing list  -  sponsored by www.HW.cz 
//  Hw-list@list.hw.cz 
//  http://list.hw.cz/mailman/listinfo/hw-list 
//  
//  _______________________________________________ 
//  HW-list mailing list  -  sponsored by www.HW.cz 
//  Hw-list@list.hw.cz 
//  http://list.hw.cz/mailman/listinfo/hw-list 
//  
//  
//  
//  
//  
//  
//  
//  
//  
//  __________ Informacia od NOD32 1.1489 (20060414) __________ 
//  
//  Tato sprava bola preverena antivirusovym systemom NOD32. 
//  http://www.eset.sk 
//  
//  
//  _______________________________________________ 
//  HW-list mailing list  -  sponsored by www.HW.cz 
//  Hw-list@list.hw.cz 
//  http://list.hw.cz/mailman/listinfo/hw-list 



  _____  

avast! Antivirus <http://www.avast.com>  : Odchozi zprava cista. 


Virova databaze (VPS): 0615-3, 14.04.2006
Testovano: 14.4.2006 22:39:31
avast! - copyright (c) 2000-2003 ALWIL Software.






Další informace o konferenci Hw-list