Re: XC9500 a obousměrná brána

Danhard danhard@volny.cz
Středa Říjen 26 00:29:04 CEST 2005


Na CPLD bych skutecne doporucoval nejaky primitivnejsi jazyk HDL-ABEL, ktery
primo popisuje vystupni architekturu na ktere to bude realizovane, myslim ze
by tomu mel i ten Verilog rozumet.

A = [a7..a0];
B = [b7..b0];

A = B.pin;
A.oe = dir;

B = A.pin;
B.oe = !dir;

Danhard
===========================

1, 0, X a Z jsou stejně
ta síla je jinak, ale to pro cpld stejně není důležité
Jakub
On Tuesday 25 of October 2005 16:21, Pavel Prochazka.zde.cz wrote:
> Tomu tak je ve VHDL ale verilog neznam....
>
> Pavel Prochazka.zde.cz
>
> type std_logic is (
> 'U', -- Uninitialized
> 'X', -- Forcing Unknown
> '0', -- Forcing 0
> '1', -- Forcing 1
> 'Z', -- High Impedance
> 'W', -- Weak Unknown
> 'L', -- Weak 0
> 'H', -- Weak 1
> '-' -- Don't Care );
>
> Jakub Ladman napsal(a):
> >Díky
> >Jestli tomu dobře rozumím, tak jen stačí signál nastavit na ZZZZZZZZ.
> >Tak snad to bude fungovat.
> >Jakub
> >
> >On Tuesday 25 of October 2005 11:05, Pavel Prochazka.zde.cz wrote:
> >>mam to pro VHDL:
> >>
> >>a je to na: http://prochazka.d2.cz/priklady1.php#29
> >>
> >>
> >>Pavel Prochazka.zde.cz
> >>
> >>Jakub Ladman napsal(a):
> >>>Vážení odborníci na progarmovatelnou logiku.
> >>>Poprvé v životě potřebuji na pinech CPLD vytvořit obousměrnou
> >>> osmibitovou bránu (datová sběrnice) a potřebuji aby mimo zápis byla ve
> >>> stavu vysoké impedance.
> >>>Co je pro to všechno potřeba udělat?
> >>>Píšu to ve Verilogu, protože ten ze všech možností ovládám nejlépe a
> >>> není čas se to nejprve naučit v něčem jiném, myslím si ale, že pokud
> >>> poskytnete obecnou radu, její implementaci ve Verilogu již sám najdu.
> >>>
> >>>S díky a pozdravem
> >>>Jakub Ladman





Další informace o konferenci Hw-list