Sinusovka z obdelniku

Ondřej Kozumplík kozond-konf@seznam.cz
Středa Leden 5 17:12:36 CET 2005


tak to je sado-maso, 64kB tabulka. ma to jednu mensi chybu, vysi 
kmitocty uz nevytlacite ani z FLASHky ani z tabulky .
A taky by me zajimal ten zpusob zapisu tech 64k hodnot. rekl bych ze 
varianta "s kalkulackou v ruce" neprichazi v uvahu, tudiz bude nutne to 
"z neceho automaticky vyblit". Jak mate vymysleno toto ? :-)

Ondrej Kozumplik
http://hysteria.webpark.cz

jiri@bezstarosti.cz napsal(a):

>Tedy mam tabulku, dejme tomu 64kB 8b cisel, ktera popisuji sinus hodnotami 0
>az 255. Ukazatel ukazuje do teto tabulky a je 16b, tedy preteka dokola. Dale
>mame nejakou vstupni hodnotu a tu pricitame k ukazateli a kam ukaze,
>vyhazujeme hodnotu ven na DAC.
>
>  
>




Další informace o konferenci Hw-list