vhdl

Jakub Slajs xslajsj
Středa Březen 17 12:02:21 CET 2004


> pokusi nefungovali
       ^           ^

Tusim, ze ve VHDL se obousmerna sbernice oznacuje jako "buffer"
(namisto in/out port).

Mimochodem jaky CPLD pouzivate: 95xx nebo XPLA (CoolRunner)?

S pozdravem,

Jakub Slajs


__________________________________________________
Do You Yahoo!?
Yahoo! Auctions - buy the things you want at great prices
http://auctions.yahoo.com/





Další informace o konferenci Hw-list