xilinx a jednorazovy hranou spusteny asynchronni reset

Jakub Slajs xSlajsJ@seznam.cz
Pátek Červenec 2 13:37:28 CEST 2004


> Nejsem schopen prijit na to jak se to udela, prestoze to uz je
> synchronni zalezitost...

No uz se v tom taky zacinam nejak ztracet, ale co to tedy zkusit takhle:

module shifter0 (out, clk, reset);
        output  out;                    
        input clk, reset;
        
        reg [4:0] register;
        reg reset_l;

        always @ (posedge clk)
            begin
                if (~reset & reset_l)
                begin
                    register = 0;
                end
                else begin
                    register = register << 1;
                    register[0] = 1;
                end
                reset_l = reset;                
            end
        
        not negout (out, register[4]);
endmodule

V podstate to odpovida tomu kodu ve VHDL co sem uz nekdo posilal. Problem ovsem
nastane pokud reset pujde dolu a nahoru aniz by mezitim tikly hodiny - pak
se ten reset ztrati.

J.S.

____________________________________________________________
Exkluzivně: Nové Porsche 911 – pojďte se svézt s námi
http://ad.seznam.cz/clickthru?spotId=74904



Další informace o konferenci Hw-list