<html>
  <head>
    <meta http-equiv="Content-Type" content="text/html; charset=UTF-8">
  </head>
  <body text="#000000" bgcolor="#FFFFFF">
    <p>Ahojte,</p>
    <p><br>
    </p>
    <p>no ja spravidla nereagujem na ziadny prispevok v konfere, ale rad
      si pocitam. Teraz ma ale tato rozprava o programovatelnej logike
      donutila napisat par viet.</p>
    <p>S Xilinxom som zacal cca pred 20 rokmi. Chvilu trvalo pokial
      clovek pochopil vyznam slova "synchronny navrh" ale za ten cas som
      spravil som par peknych projektov,  spravidla vzdy kombinacia FPGA
      + ARM (...x51). Kazdy fungoval a niebol "haklivy" pri zmenach a
      upravach. Drobna skusenost mi veli zhodnotit to takto:</p>
    <p>- Do FPGA (resp. programovatelnej logiky) ma zmysel ist iba v
      pripade, ze to riesenie ozaj vyzaduje, inak je to trapenie, preto
      robim hlavne s FPGA.</p>
    <p>- Vzdy je lepsie pouzit poriadne predimenzovany navrh HW, tak aby
      FPGA bolo obsadene na max 50%, ARM mal 2x vacsi vykon ako vyzaduje
      riesenie, ako neskor rozmyslat co s tym. Je mi jasne, ze su aj
      pripady, kedy treba pracovat "na hrane"a to uz vobec nie je pre
      hocikoho, ani pre mna.<br>
    </p>
    <p>- Clovek si musi uvedomit, ze rozhodnutim pouzit FPGA  musi
      riesit aj neprijemne veci (nezaletujem na kolene, kopec napajacich
      napati a pinov = zlozitejsia viacvrstvova DPS , neprijemna
      skusenost s navrhovym systemom, investicia do cohokolvek co nemam
      a budem potrebovat...)</p>
    <p>- Urobit svoj 1. projekt, pochopit vyznam slova "synchronny
      navrh" a pochopit, ze je lepsie pisat v jazyku ako kreslit schemu
      (pre neprogramatora skoro nemozne ale dnes je to skor historicka
      zalezitost) trva nejaky cas, nie je to lahka casta obzvlast bez
      pomoci skuseneho kolegu. Poznam ludi co to skusali sami a nikdy to
      nedali a rovnako poznam ludi, ktori to s pomocou dali po cca 1
      mesiaci.<br>
    </p>
    <p>- Kazdopadne je to ale neuveritelne pekna robota so spolahlivym
      vysledkom.</p>
    <p>- Su ale aj dalsie neprijemne veci sposobene vyvojom (vyrobcom).
      Pre ilustraciu prikladam analyzu, ktoru som robil nedavno. Jej
      cielom malo byt posudenie prechodu na novsiu rodinu Xilinxu pre
      upgrade isteho specifickeho HW (navrhnuteho cca 6r. dozadu) s
      cielom udrzat jeho vyrobu:<i><font size="-1"><br>
        </font></i></p>
    <p><i><font size="-1">Prechod Spartan6->Spartan7:<br>
          - puzdro bude ine, treba nanovo navrhtut piny, ziadne puzdro
          nie je bohuzial kompatibilne so Spartan6 (aj ked existuje
          rovnaka varianta CPG196), do buducna sa teda neda pouzit
          identicka DPS pre Spartan6 a Spartan7,<br>
          - Spartan 7 potrebuje 1V, 1.8V a 3.3V, treba pridat napajaci
          zdroj oproti Spartan 6 (1.2V a 3.3V),<br>
          - vnutorna struktura, kompletne ina, nutne prisposobit FW pre
          dane stavebne bloky,<br>
          - navrhovy SW, existuje a je zadarmo (Vivado WebPACK 2018),
          ale iba 30dni, takze bude treba kupit licenciu,<br>
          Zaver: podla mna nie je dovod ist do toho prechodu, jedine, ze
          by sa Spartan6 prestal vyrabat a to zatial nehrozi. V pripade
          nedostupnosti daneho puzdra je menej problemovy prechod na
          Spartan6 v inom puzdre.<br>
        </font></i><br>
      <br>
      Milan<br>
    </p>
    <p><br>
    </p>
    <div class="moz-cite-prefix">On 15-Aug-19 3:35 PM, Jan Waclawek
      wrote:<br>
    </div>
    <blockquote type="cite"
      cite="mid:PC195201908151535080516a394454c@wekPC">
      <pre class="moz-quote-pre" wrap="">[preposielam]


Ahoj,

dovolim si este par poznamok ku tejto teme.

Svojho casu ma programovatelna logika zacala zaujimat ked boli
novinkou cpld CoolRunner, kedze boli low-power... Co bola pre mna
hlavna motivacia. Ale zistil som, ze 128 buniek nie je teda bohvie co,
ked zacnes teoreticky robit obvody so 16-bitmi, citace, latche a tak.
Velmi rychlo sa minu. Druhy problem bol nejaka moznost spajkovania na
kolene, takze zo 128 clovek padol na 72 buniek... Tak som si okrem
tych cpld v plcc44 obstaral aj dve fpga, nejaky letovatelny Spartan-2,
za cca 5k Skk. Myslel som si, ze si ubastlim vlastnu dosku s malym mcu
a cpld, resp. fpga. Nejakou hrou osudu ale z toho vsetkeho nebolo
nic... Pak som si este kupil taku tu hotovu vyvojovu dosku od Avnetu,
s Cypresom a Xilinxom, ale ta mala nejake problemy s obsluznym
softwarom, takze to tiez lezi nepouzite kdesi v skrini...

Este dalsi problem, ktory ste nanacali a to su tie molochy s
kompilatormi VHDL, ktore su zvycajne propietarne. Myslim, ze len
Lattice ma nejaky open source kompilator pre svoje cpld/fpga, ale to
je tiez tak max. 3-4 roky do zadu. K tomu vsetkemu musi clovek este
pozhanat programator, co je dalsia vyznamna polozka v rozpocte, teda
aspon v pripade Xi... No a nakoniec sa to VHDL alebo Verilog musi
clovek naucit, teda musi mat zrozumitelny a jasny popis jazyka a
syntaxe. Ja viem su na to knizky... Ale v pripade cestiny-slovenciny
si pre VHDL trebalo kupit tie tituly aspon dva, jeden s popisom jazyka
a druhy s prikladmi, v pripade Verilogu som nasiel neanglicky titul
len jeden... Takze ostane kamarat, ktory Vam ten jazyk vysvetli a
ukaze na nejakom priklade ako sa robi s IDE a ako sa programuje vo
VHDL resp. Verilogu. Ano este je tu moznost zaplatit si niekolko >1k
Eurovych skoleni, kde Vas to kompletne naucia vratane tych 100ps
nuansov, vsak Dano...!?

A potom, ked clovek pre to doma najde nejaku zmysluplnu aplikaciu,
zisti, ze tie cipy si nemoze kupit ani vo Farnelli, ako to bolo svojho
casu, a potrebuje riesit akesi papierovacky...

p.s.: Ak by niekto chcel tie dve Spartan-2 a tu dosku s
Cypresom+Xilinx, rad to za nejaky rozumny peniaz posuniem dalej. Ja na
fpga+vhdl uz naozaj nesiahnem a len mi na to doma pada zbytocne
prach...

A.
_______________________________________________
HW-list mailing list  -  sponsored by <a class="moz-txt-link-abbreviated" href="http://www.HW.cz">www.HW.cz</a>
<a class="moz-txt-link-abbreviated" href="mailto:Hw-list@list.hw.cz">Hw-list@list.hw.cz</a>
<a class="moz-txt-link-freetext" href="http://list.hw.cz/mailman/listinfo/hw-list">http://list.hw.cz/mailman/listinfo/hw-list</a>
</pre>
    </blockquote>
  </body>
</html>