odpovim si sam. Mozna existuje lepsi reseni, ale ja jsem nasel tohle:<br><br><br> process (LEDCnt) is<br> variable orgate : std_logic_vector(LEDCnt'length-1 downto 0);<br> begin -- process<br> for I in 1 to LEDCnt'length-1 loop
<br> orgate(I) := orgate(I-1) or LEDCnt(I);<br> end loop; -- I<br> CntEnable <= orgate(LEDCnt'length-1);<br> end process;<br><br><br><br>v praxi to vygeneruje sadu OR hradel ktere vzdycky berou <vstupni signal> or <vysledek predchoziho oru>. Vytvori to kaskadu OR
<br>hradel, ktere se ovsem v RTL projevi jako jedno OR hradlo s mnoha vstupy.<br><br>mozna zna nekdo jeste elegantnejsi reseni.<br><br>d.<br><br>