odpovim si sam. Mozna existuje lepsi reseni, ale ja jsem nasel tohle:<br><br><br>&nbsp; process (LEDCnt) is<br>&nbsp;&nbsp;&nbsp; variable orgate : std_logic_vector(LEDCnt&#39;length-1 downto 0);<br>&nbsp; begin&nbsp; -- process<br>&nbsp;&nbsp;&nbsp; for I in 1 to LEDCnt&#39;length-1 loop
<br>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; orgate(I) :=&nbsp; orgate(I-1) or LEDCnt(I);<br>&nbsp;&nbsp;&nbsp; end loop;&nbsp; -- I<br>&nbsp;&nbsp;&nbsp; CntEnable &lt;= orgate(LEDCnt&#39;length-1);<br>&nbsp; end process;<br><br><br><br>v praxi to vygeneruje sadu OR hradel ktere vzdycky berou &lt;vstupni signal&gt; or &lt;vysledek predchoziho oru&gt;. Vytvori to kaskadu OR
<br>hradel, ktere se ovsem v RTL projevi jako jedno OR hradlo s mnoha vstupy.<br><br>mozna zna nekdo jeste elegantnejsi reseni.<br><br>d.<br><br>