nene. spravne je tam<br><br>begin<br> &nbsp; orgate(0) := LEDCnt(0);<br> &nbsp; &nbsp;for i in LEDCnt&#39;range loop<br> &nbsp; &nbsp; &nbsp;orgate := orgate OR LEDCnt(i);<br><br><br>----<br>TO MS:<br><br>formulace (ekvivalent vaseho ceckoveho zapisu)<br>
<br>CntEnable = &#39;1&#39; when LEDCnt /= STD_LOGIC_VECTOR(TO_UNSIGNED(0, LEDCnt&#39;length)) else &#39;0&#39;;<br><br>vede k matematickemu bloku. <br><br><br><div><span class="gmail_quote">19.10.07, <b class="gmail_sendername">
Petr Tošovský</b> &lt;<a href="mailto:PetrTosHW@ptmodel.cz">PetrTosHW@ptmodel.cz</a>&gt;:</span><blockquote class="gmail_quote" style="border-left: 1px solid rgb(204, 204, 204); margin: 0pt 0pt 0pt 0.8ex; padding-left: 1ex;">
Spravne bys tam mel mit<br><br>begin<br>&nbsp;&nbsp; orgate := &#39;0&#39;;<br>&nbsp;&nbsp;&nbsp;&nbsp;for i in LEDCnt&#39;range loop<br>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;orgate := orgate OR LEDCnt(i);<br>.............<br><br>Tosa<br><br><br><br><br><br>dejfson napsal(a):<br>&gt; Zdravim,
<br>&gt; uz hledim do vhdl prilis dlouho a porad me nenapada jednoduche (i.e.<br>&gt; &#39;krasne&#39;) reseni nasledujiciho problemu:<br>&gt;<br>&gt; mam counter ktery ma generickou sirku sbernice. Kdyz vyrabim instanci<br>
&gt; toho counteru, reknu si jaka ma byt<br>&gt; sirka te sbernice.<br>&gt;<br>&gt; Rad bych implementoval do toho counteru JEDNO PROSTE OR HRADLO ktere<br>&gt; bude mit na vstupu vsechny vystupy<br>&gt; toho citace. myslel jsem ze tohle::
<br>&gt;<br>&gt;&nbsp;&nbsp; process (LEDCnt) is<br>&gt;&nbsp;&nbsp;&nbsp;&nbsp; variable orgate : std_logic;<br>&gt;&nbsp;&nbsp; begin&nbsp;&nbsp;-- process<br>&gt;&nbsp;&nbsp;&nbsp;&nbsp; for I in LEDCnt&#39;length-1 downto 1 loop<br>&gt;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; orgate := LEDCnt(0) or LEDCnt(I);<br>&gt;&nbsp;&nbsp;&nbsp;&nbsp; end loop;&nbsp;&nbsp;-- I
<br>&gt;&nbsp;&nbsp;&nbsp;&nbsp; ZeroDetect &lt;= orgate;<br>&gt;&nbsp;&nbsp; end process;<br>&gt;<br>&gt;<br>&gt;<br>&gt; je suprelegantni reseni problemu. samozrejme ze neni. v rtl je jasne<br>&gt; videt ze misto N-vstupoveho OR gate to<br>&gt; generuje pouze 2vstupovy. na prvnim vstupu je LEDCnt(0) a na druhem
<br>&gt; LEDCnt(1). Coz je koneckoncu logicke.<br>&gt;<br>&gt; Takze otazka: jak se takova vec resi?<br>&gt;<br>&gt; zatim jsem to vyresil brute force metodou:<br>&gt;<br>&gt;&nbsp;&nbsp; CntEnable &lt;= LEDCnt(0) or LEDCnt(1) or LEDCnt(2) or LEDCnt(3) or
<br>&gt; LEDCnt(4) or LEDCnt(5) or LEDCnt(6) or LEDCnt(7) or LEDCnt(8) or<br>&gt; LEDCnt(9) or LEDCnt(10) or LEDCnt(11) or LEDCnt(12) or LEDCnt(13) or<br>&gt; LEDCnt(14) or LEDCnt(15) or LEDCnt(16) or LEDCnt(17);<br>&gt;<br>
&gt;<br>&gt; coz je ovsem dost stupidni reseni.<br>&gt;<br>&gt; d.<br>&gt;<br>&gt; ------------------------------------------------------------------------<br>&gt;<br>&gt; _______________________________________________<br>
&gt; HW-list mailing list&nbsp;&nbsp;-&nbsp;&nbsp;sponsored by <a href="http://www.HW.cz">www.HW.cz</a><br>&gt; <a href="mailto:Hw-list@list.hw.cz">Hw-list@list.hw.cz</a><br>&gt; <a href="http://list.hw.cz/mailman/listinfo/hw-list">http://list.hw.cz/mailman/listinfo/hw-list
</a><br>&gt;<br>_______________________________________________<br>HW-list mailing list&nbsp;&nbsp;-&nbsp;&nbsp;sponsored by <a href="http://www.HW.cz">www.HW.cz</a><br><a href="mailto:Hw-list@list.hw.cz">Hw-list@list.hw.cz</a><br><a href="http://list.hw.cz/mailman/listinfo/hw-list">
http://list.hw.cz/mailman/listinfo/hw-list</a><br></blockquote></div><br>