<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
<HTML><HEAD>
<META http-equiv=Content-Type content="text/html; charset=iso-8859-2">
<META content="MSHTML 6.00.2900.2627" name=GENERATOR>
<STYLE></STYLE>
</HEAD>
<BODY bgColor=#ffffff>
<DIV><FONT face=Arial size=2>Zkousim neco kompilovat s CPLD dle 
navodu:</FONT></DIV>
<DIV><FONT face=Arial size=2></FONT>&nbsp;</DIV>
<DIV><FONT face=Arial size=2>library IEEE;<BR>use 
IEEE.STD_LOGIC_1164.ALL;<BR>use IEEE.STD_LOGIC_ARITH.ALL;<BR>use 
IEEE.STD_LOGIC_UNSIGNED.ALL;</FONT></DIV>
<DIV>&nbsp;</DIV>
<DIV><FONT face=Arial size=2>---- Uncomment the following library declaration if 
instantiating<BR>---- any Xilinx primitives in this code.<BR>--library 
UNISIM;<BR>--use UNISIM.VComponents.all;</FONT></DIV>
<DIV>&nbsp;</DIV>
<DIV><FONT face=Arial size=2>entity prvni is<BR>&nbsp;&nbsp;&nbsp; Port ( CLOCK 
: in std_logic;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; 
DIRECTION : in 
std_logic;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; 
COUNT_OUT : out std_logic);<BR>end prvni;</FONT></DIV>
<DIV>&nbsp;</DIV>
<DIV><FONT face=Arial size=2>architecture Behavioral of prvni is<BR>signal 
count_int : std_logic_vector(0 to 3) := "0000";<BR>begin<BR>process (clock) 
<BR>begin<BR>&nbsp;&nbsp; if CLOCK='1' and clock'event 
then<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; if DIRECTION='1' then&nbsp;&nbsp; 
<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; count_int &lt;= count_int + 
1;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; 
else<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; count_int &lt;= 
count_int - 1;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; end if;<BR>&nbsp;&nbsp; end 
if;<BR>end process;<BR>COUNT_OUT &lt;= count_int;<BR>end 
Behavioral;</FONT></DIV>
<DIV><FONT face=Arial size=2></FONT>&nbsp;</DIV>
<DIV><FONT face=Arial size=2>Haze to chybu:</FONT></DIV>
<DIV><FONT face=Arial size=2>ERROR:HDLParsers:800 - 
"C:/A/xilinx/projekty/prvni.vhd" Line 49. Type of COUNT_OUT is incompatible with 
type of count_int.<BR></FONT></DIV>
<DIV><FONT face=Arial size=2>Co stim? Je to podle navodu, jen misto Spartana 
jsem tam dal 9500XC. Spartan nebyl v nabidce.</FONT></DIV>
<DIV><FONT face=Arial size=2></FONT>&nbsp;</DIV>
<DIV><FONT face=Arial size=2>Michal Gregor</DIV></FONT>
<DIV><FONT face=Arial size=2>&nbsp;</DIV></FONT>
<DIV><FONT face=Arial size=2></FONT>&nbsp;</DIV></BODY></HTML>