Re: Návrhářem FPGA za 21 dní

Jaroslav Buchta jaroslav.buchta na hascomp.cz
Pondělí Říjen 26 21:35:48 CET 2015


Koukam na ten Spartan a je to asi plne srovnatelne, mozna trosicku lip 
vychazi ten Xilinx, zas se na jejich soft asi podivam ;-)

Dne 26.10.2015 v 21:26 Petr Labaj napsal(a):
> Ano, ty Altery a příslušenství k nim je asi levnější.
> Neumím to zatím srovnat, ale jen tak pocitově mi ti Xilinxové připadají
> jako větší dělo.
>
> Třeba tyhle 2 srovnatelné produkty s Alterou a Xilinxem, navíc od 
> stejného prodejce:
> http://www.ebay.com/itm/Altera-EP4CE6-Mini-Board-Cyclone-IV-FPGA-/111621868286 
>
> http://www.ebay.com/itm/Xilinx-XC6SLX9-Spartan-6-FPGA-Core-Board-Nano-Size-/111640406811 
>
>
> Na kvalitě návrhového SW zřejmě hodně záleží. Možná to vyrovná i 
> případně méně výkonný HW?
>
> Díky za všechny informace.
>
> PL
>
> ********************************
>
> Dne 26.10.2015 v 21:10 Jaroslav Buchta napsal(a):
>> Jeste k tem programovadlum - nejake ladeni jsem nikde nenasel, proste 
>> to je JTAG rozhrani kompatibilni se SW a naleje to data do FPGA nebo 
>> do konfiguracni pameti. Pres PP je to hodne pomale (ve srovnani s 
>> plnotucnym rozhranim)
>> Na ladeni se pouziva asi spis simulator (u Altery take ve free verzi 
>> pouzitelny), ja si udelal logicky analyzator do FPGA a jednoduchy 
>> program pro vizualizaci, prijde mi to rychlejsi nez simulace (zabere 
>> podle nastaveni 400-1000LUT vcetne UART a nejakou pamet).
>>
>> Dne 26.10.2015 v 20:54 Petr Labaj napsal(a):
>>> Rád bych si rozšířil obzory a chtěl bych si sáhnout na 
>>> programovatelný HW.
>>> Přece jen je dost oblastí, kde se rychlost a paralelismus hodí, a 
>>> ceny FPGA
>>> už jsou dneska celkem snesitelné i mimo státní/neziskový sektor.
>>> Začal jsem tím, že jsem si na záchod položil knížku "Číslicové 
>>> systémy a jazyk VHDL",
>>> kterou jsem si kdysi dávno koupil.
>>>
>>> Pokukuju po chipech od Xilinxu, a měl bych 2 dotazy na ty, co se v 
>>> problematice
>>> orientují (tedy ty, co už to mají na záchodě dlouho ;-).
>>>
>>> 1 - Je orientace na Xilinx ta správná volba? Moje motivace je ta, že 
>>> na Spartanech
>>> jsou postavené některé konstrukce, kde bych se rád inspiroval. A 
>>> zatím vůbec
>>> neumím posoudit, jestli je úroveň abstrakce u složitějších řešení 
>>> tak vysoká, aby
>>> se to dalo snadno přeportovat třeba na srovnatelně velkou Alteru.
>>>
>>> 2 - Snad si myslím správně, že nějaký základní návrhový SW (nějaké 
>>> to ISE)
>>> se dá sehnat free. Je to skutečně prakticky použitelné?
>>> A k JTAG: pro Alteru jsou k dispozici velmi laciné programátory, ke 
>>> Xilinxovi
>>> jsem viděl jenom o dost dražší, nebo pak nějaké triviální 
>>> programátory na LPT.
>>> Snad se dá nějak nouzově použít i J-Link s OpenOCD.
>>> Záleží na JTAG adaptéru nějak zásadně? Myslím z hlediska rychlosti, 
>>> případně
>>> nějakého ladění (dělá se to tak?). A jsou ty programátory v rámci 
>>> výrobků
>>> jedné firmy kompatibilní, nebo budu každý rok kupovat nový?
>>>
>>> Díky za případné tipy a nasměrování.
>>> Petr Labaj
>>>
>>> _______________________________________________
>>> HW-list mailing list  -  sponsored by www.HW.cz
>>> Hw-list na list.hw.cz
>>> http://list.hw.cz/mailman/listinfo/hw-list
>>
>> _______________________________________________
>> HW-list mailing list  -  sponsored by www.HW.cz
>> Hw-list na list.hw.cz
>> http://list.hw.cz/mailman/listinfo/hw-list
>>
>
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list



Další informace o konferenci Hw-list