FPGA Altera a NIOSII

Jan Smrz jan.smrz na email.cz
Pátek Únor 6 20:24:55 CET 2015


Jestli se neco nezmenilo, tak microblaze je placeny. Zadarmo je tusim 
Mikroblaze MCS, coz je orezana verze s omezenym vyberem periferii a sbernic.

Kdyz jsem si se softcory hral a MCS jeste nebyl k dispozici, tak jsem 
celkem spokojene pouzival mb-lite z opencores. Je SW kompatibilni s 
mikroblaze a docela maly. Periferie tam ale musi uz byt vlastni a 
nepodporuje debug z EDK.
mb-lite by mel jit pouzit i na altere.

J.S.

On 6.2.2015 20:02, Galloth wrote:
> Nejsem si jist, jestli chápu otázku, ale Xilinx má softprocessory dva, 
> uBlaze a picoBlaze. Občas se používá LEON3, ale ten není od Xilinx. 
> uBlaye je docela výkoná věc, existují pro to dokonce distribuce Linuxu 
> (PetaLinux). Samotná syntéza uBlaze do FPGA je poměrně snadná. Používá 
> se nástroj EDK, který je myslín ke stažení zdarma (alespoň 30 denní 
> trial určitě). Dají se v tom vyklikat celé subsystémy, jako třeba 
> uBlaze +DDR + ethernet s DMA.
>
> Co se picoBlaze týče, tak ten je poměrně omezený, ale zase ve srovnání 
> s uBlaze maličký. Nikdy jsem s ním nepracoval, ale myslím, že má limit 
> 1024 instrukcí (velikost instrukční paměti).
>
> Honza
>
> Dne 6. února 2015 19:49 Jaroslav Buchta <jaroslav.buchta na hascomp.cz 
> <mailto:jaroslav.buchta na hascomp.cz>> napsal(a):
>
>     Pouziva nekdo subj?
>     Vcera mi prislo
>     http://www.ebay.com/itm/231314346641?_trksid=p2060778.m2749.l2649&ssPageName=STRK%3AMEBIDX%3AIT
>     (docela fofrem, objednano 20.1) s Cyclone IV EP4CE6E22C8N, ledkama
>     blikam skrz citac.
>     Podle tutorialu http://www.mouser.com/pdfdocs/My_First_NiosII.PDF
>     jsem to zkompiloval a ta nejmensi verze procesoru co je free s
>     moduly JTAG, interni pameti a PIO pro ledky zabere 25% bloku - to
>     mi prijde dost dobre.
>     Integrace do SW Quartus II je zda se dost propracovana. Design
>     procesoru se naklika a Wizard pro hello world v Eclipse je take
>     luxusni, jde prelozit a mini verze ma asi 650B kodu. Funkcnost
>     jsem jeste nezkousel ale dle vseho by to melo jit, vcetne ladeni...
>
>     Nelibi se mi, ze je to takove omezene a uzavrene - je rozumna
>     uplne free alternativa? Je mi jasne, ze do tohoto FPGA nic moc
>     nenacpu, ale abych se vydal rozumnou cestou, kdyz uz se na tom
>     ucim. Asi z OpenCores OpenRISC1000, ale nevim, jestli se to da
>     nejak ocesat i pro takto male FPGA.
>
>     Ma neco takoveho Xilinx? To mam nejake nadupane moduly i s ext.
>     pametmi, ale ten jejich soft mi prijde takovy neprehlednejsi. K
>     Altere je IMHO take vic tutorialu. Ale zatim jsem si s tim hral
>     jen chvili.
>
>
>
>     ---
>     This email has been checked for viruses by Avast antivirus software.
>     http://www.avast.com
>
>     _______________________________________________
>     HW-list mailing list  -  sponsored by www.HW.cz <http://www.HW.cz>
>     Hw-list na list.hw.cz <mailto:Hw-list na list.hw.cz>
>     http://list.hw.cz/mailman/listinfo/hw-list
>
>
>
>
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list



Další informace o konferenci Hw-list