VHDL - hloupe otazky 2.0

hw na itherm.cz hw na itherm.cz
Pátek Leden 3 22:14:56 CET 2014


Mam dalsi otazku ;-)

Potreboval bych generovat 65MHz a 100MHz hodiny, da se to udelat pomoci
jednech hodin z venku?

Pavel


-----Original Message-----
From: Hw-list [mailto:hw-list-bounces na list.hw.cz] On Behalf Of Marek Peca
Sent: 2. ledna 2014 10:13
To: HW-news
Subject: RE: VHDL - hloupe otazky

> Me pri otazce slo hlavne o to jestli neni nejaky jeste lepsi postup 
> nez stavovy automat.

To je velmi zapeklita otazka. Z hlediska Turingovske ekvivalence automatu je
v synchronnim svete uplne *kazdy* obvod na spolecnem hodinovem signalu
stavovym automatem...

Prakticky zajimave jsou tedy 2 rozdilne dusledky zpusobu psani v HDL:
a) co vyjde dobre na cas, popr. spotrebu hradel
b) co se dobre cte a pise

> Premyslel jsem nad tim to udelat i jako velky posuvny registr a proste 
> to precist najednou ;-)

V a) to pro vetsinu uloh vyjde nevyhodne, velmi brzo pomine i zdanlive b),
obavam se.


ZdraviMP
_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list na list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list



Další informace o konferenci Hw-list