ARM- interrupt/event

Josef Štengl ok1ced na nagano.cz
Čtvrtek Duben 11 22:49:46 CEST 2013


Já ST čip neznám. Nevěděl jsem že je možno nějak vyvést HCLK hodiny, i 
nepřímo. Nebo alespoň nějaké hodiny za běžného provozu. Jediné co mě 
napadlo je mít je synchronně s OSC. Ale to by pak nebyla řeč o 163MHz 
(max pracovní frekvence, tipuji), protože takový krystal jde dost 
obtížně sehnat :-). Nevím kolik má HCLK oproti CPU clk, tipuji polovinu 
(soudím dle znalosti jiné ARM implementace, ale tam je to 1/2 a je 
nemožné vyvést cokoliv nad 30 MHz. Myslel jsem, že jste použil nějaký 
sofistikovaný simulátor, ale mátl mě ten obrázek z LA. Ale to dnes může 
být cokoliv.

Pak ještě píšete o mezikusu mezi procesorem a AHB, což jsem odhadl na 
asynchronní sběrnici GPIO periférie, a to mě zarazilo a nasměrovalo na 
simulátor, páč nemám tušení jak ten takt z fyzického čipu získat. Ale 
jak jsem říkal ST neznám mám zkušenosti jen s TMS570 (TI).

Nerýpal jsem, prostě jsem byl zvědavý a zajímalo mě to, protože by se mi 
to hodilo. Ale v mém případě je to nemožné ale i když jsem samozřejmě 
bezprecedentní génius (ha ha), jsem první který přiznává, že ani já 
nevím vše, tak jsem se zeptal. Překvapivě často se tak něco dozvím, hmm… 
(Ano, nyní jsem si rýpnul. V dobrém :-)

Hezké spaní.

ced


Dne 11.4.2013 22:14, Jan Waclawek napsal(a):
>> Prozraïte prosím nehodnému jak jste získal ty grafy?
>
> Pripojil som LA k pinom MCU podla oznacenia. Zdalo sa mi to ako dost
> rukolapne, ale v skutocnosti to asi tak nebolo a zbytocne som vynechal
> klucove informacie, lebo ste uz druhy, ktory sa na to spytal... Mea culpa.
>
>> Døíve jste uvedl,
>> ¾e dole je HCLK, ale nemám tu¹ení jak jste se k nìmu fyzicky dostal.
>
> To je ale tiez zbytocne rypanie: samozrejme to nie je *priamo* HCLK, ale
> hodiny, ktore s nim idu synchronne (hodiny, ktore su potom interne pouzite
> ako zdroj HCLK su este predtym rozvetvene a vyvedene von na pin oznaceny
> PA8 = MCO1). Na tento ucel (t.j. aby sme videli, kolko hodinovych cyklov
> trva ktora udalost) sa mi to zda adekvatne.
>
> wek
>
>
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list


Další informace o konferenci Hw-list