mezi zidli a klavesnici

Josef Štengl ok1ced na nagano.cz
Neděle Únor 26 21:11:37 CET 2012


	Ono není jednoduché pro překladač zpětně zjistit přesnou pozici řádky s 
chybou. Je to nepříjemná vlastnost většiny překladačů C. Jediný o kterým 
vím který se o to snaží je clang.
	Snad ještě horší je na to perl. Buď vypíše správnou řádku, nebo jsem 
někde zapomněl závorku. Jakou a kde je trochu detektivní činnost, na 
druhou stranu člověk si dá příště větší pozor. U mě to funguje :-)
	Mimochodem, kdyby vám překladač vypsal jak to vidí on, puknul by jste 
vzteky. Nebo si myslíte že píše úmyslně náhodně jinam? :-)

http://clang.llvm.org/index.html
ced

Dne 26.2.2012 20:48, Miroslav Draxal napsal(a):
> Me by stačilo, kdyby to natvrdo vypsalo tu řádku tak jak to vidí C. hned by
> to bylo vidět. Taky se mi stalo, že to hlásilo chybu na řádku A, ale chyba
> byla pomalu o 20 řádků víš. Docela pakárna to hledat. Míra
>
> -----Original Message-----
> From: hw-list-bounces na list.hw.cz [mailto:hw-list-bounces na list.hw.cz] On
> Behalf Of Michal Gregor
> Sent: Sunday, February 26, 2012 5:10 PM
> To: HW-news
> Subject: Re: mezi zidli a klavesnici
>
> Otazka ceho je v beznem programu vice.  Stredniku na konci radku nebo
> dlouhych radku?
> (Navic kdo jeste v dnesni dobe pouziva dlouhe radky? Program je zbytecne
> spatne citelny. Lepsi je to rozdelit na vice radku.)
>
> Michal Gregor
>
> ----- Original Message -----
> From: "HW CNCnet.info"<hwnews na cncnet.info>
> To: "HW-news"<hw-list na list.hw.cz>
> Sent: Sunday, February 26, 2012 1:14 PM
> Subject: Re: mezi zidli a klavesnici
>
>
> No tak ale zase pak musite mit nejaky znak jak prekladaci sdelit ze
> radka pokracuje na dalsim radku - mit radek ve zdrojaku dlouhy 500 znaku
> take neni to prave.
>
> Ja cim dal tim vic zjistuju, ze se stroze rigidnimi jazyky (syntakticky)
> se mi zije tak nejak snaz.
>
> S pozdravem
> Radek Vicek
>
> Dne 26.2.2012 13:08, Michal Gregor napsal(a):
>> Nepouzivat strednik na konci radku. Treba VB to tak ma a je to super.
>>
>> Michal Gregor
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list
>
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list
>
>
> __________ Informace od ESET NOD32 Antivirus, verze databaze 6917 (20120226)
> __________
>
> Tuto zpravu proveril ESET NOD32 Antivirus.
>
> http://www.eset.cz
>
>
>
> __________ Informace od ESET NOD32 Antivirus, verze databaze 6917 (20120226)
> __________
>
> Tuto zpravu proveril ESET NOD32 Antivirus.
>
> http://www.eset.cz
>
>
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list na list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list


Další informace o konferenci Hw-list