VHDL - signaly v processu?

Jan Smrz jan.smrz@email.cz
Úterý Listopad 24 13:02:05 CET 2009


Modelsim takove nastaveni nema, pokud tam chcete zpozdeni, musite si jej
dopsat rucne. napr.

process (clock)
begin
    if falling_edge(clock) then
       clock_en <= not(clock_en) after 10 ns;
    end if;
end;

Jedna se ale jen o zvyk .

J.S.


Ondrej wrote:
> Ona je matoucí už samotná simulace (myšleno na behav úrovni), kdy se
> výstup procesu promítne do signálů ihned a ne až se zpožděním.
>
> Celkem by mě zajímalo, jestli tam tohle zpoždění (čistě pro účely
> simulace) nejde přidat nějak uměle. Něco jako říci ModelSimu, aby se
> výsledek všech procesů (sekvenční domény) promítl až třeba po 10us od
> hrany hodin a kombinační domény třeba až za 5us. Hledal jsem to v
> manuálu celkem dlouho a pečlivě, ale nenašel :-(
>
> OH
>
> Petr Tošovský napsal(a):
>> hm hm, to s glitchem je pravda, nedomyslel jsem to do konce.
>> S tim zpozdenim jsem to myslel tak ze studenti co se u me ucili VHDL
>> porad meli problem, ze zmeny signalu nastanou az pri zmene hodin a ze
>> tedy signal muzou v tom stavu jak jej potrebuji pouzivat az v
>> nasledujicim taktu. Delavalo to skutecne problemy dost casto. V tomto
>> pripade nedochazi k hradlovani hodin toho daneho pulzu, ale az toho
>> nasledujiciho po sestupne hrane. Je to logicke, ale lide co se uci
>> VHDL to nevidi.
>>
>> Tosa
>>
>>
>> Jan Smrz wrote:
>>> Zde si dovoluji oponovat, neb D flip-flop reagujici na sestupnou hranu
>>> je zde prave proto, aby tam zadny glitch nebyl, jen je tam podminka,
>>> aby
>>> zpozdeni pres D flip-flop bylo dostatecne ( v realu je, pripadne lze
>>> osetrit buffery/invertory mezi Dckem a ANDem)
>>> Zpozdeni mi neni jasne, ktere je na mysli.
>>>
>>> J.S.
>>>
>>>
>>> Petr Tošovský wrote:
>>>  
>>>> Fungovat to bude, jen to se tu mluvilo o tom, ze to neni synchronni
>>>> design (clock_out neni po pruchodu ANDem synchronni s clock,
>>>> pravdepodobne bude na clk_out glitch) a asi bude vystup o jeden
>>>> hodinovy takt posunuty od toho co byste ocekaval.
>>>>     
>>>
>>> _______________________________________________
>>> HW-list mailing list  -  sponsored by www.HW.cz
>>> Hw-list@list.hw.cz
>>> http://list.hw.cz/mailman/listinfo/hw-list
>>>
>>>   
>> _______________________________________________
>> HW-list mailing list  -  sponsored by www.HW.cz
>> Hw-list@list.hw.cz
>> http://list.hw.cz/mailman/listinfo/hw-list
>>
>



More information about the Hw-list mailing list