VHDL / Xilinx

Marek Sembol hwm.land@gmail.com
Neděle Únor 8 20:11:41 CET 2009


Zdravim,
zacinam se subj. (joj, jde to ztezka...) a vyskakuje na mne nasledujici hlaska:
WARNING:Xst:1426 - The value init of the FF/Latch State_FFd1 hinder
the constant cleaning in the block Main.

Poradi nekdo jak dohledat pricinu, jak se toho zbavit a co to vlastne
zpusobuje? Info k teto hlasce na strankach xilinxu jsem cetl, ale
nepomohlo mi to:(
Marek



Další informace o konferenci Hw-list