vhdl: or vsech signalu

Miroslav Sinko sinkomiro@rocketmail.com
Pátek Říjen 19 15:34:20 CEST 2007


VHDL nepoznam, ale neda sa vector LEDCnt brat ako celok s x-bitovou
hodnotou? Potom (pomozem si C-ckovskym zapisom)

CntEnable = (LEDCnt == 0) ? 0 : 1;

miro

--- dejfson <dejfson@gmail.com> wrote:

> odpovim si sam. Mozna existuje lepsi reseni, ale ja jsem nasel tohle:
> 
> 
>   process (LEDCnt) is
>     variable orgate : std_logic_vector(LEDCnt'length-1 downto 0);
>   begin  -- process
>     for I in 1 to LEDCnt'length-1 loop
>       orgate(I) :=  orgate(I-1) or LEDCnt(I);
>     end loop;  -- I
>     CntEnable <= orgate(LEDCnt'length-1);
>   end process;
> 
> 
> 
> v praxi to vygeneruje sadu OR hradel ktere vzdycky berou <vstupni
> signal> or
> <vysledek predchoziho oru>. Vytvori to kaskadu OR
> hradel, ktere se ovsem v RTL projevi jako jedno OR hradlo s mnoha
> vstupy.
> 
> mozna zna nekdo jeste elegantnejsi reseni.
> 
> d.
> > _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list@list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list
> 


__________________________________________________
Do You Yahoo!?
Tired of spam?  Yahoo! Mail has the best spam protection around 
http://mail.yahoo.com 



Další informace o konferenci Hw-list