zapis do textoveho suboru vo VHDL

balu@home daniel.valuch@orange.fr
Neděle Říjen 14 23:49:04 CEST 2007


uz nemusis, hladal som a nasiel som :-)
klasicke write z kniznice std.textio vie pracovat LEN s bit a 
bit_vector. Ked chces pracovat s inymi typmi musis pouzit inu textio 
kniznicu. Pouzil som tu od synopsys a uz to pekne funguje


Time	CH1 I	CH1 Q	CH2 I	CH2 Q	X	Y	Z
10 NS	0000	0000	0000	0000	0000	0000	0000
20 NS	7FFF	0000	0000	0000	0000	0000	0000
30 NS	7FFF	0000	0100	0000	0000	0000	0000
40 NS	7FFF	0000	0200	0000	0000	0000	0000
50 NS	7FFF	0000	0300	0000	0000	0000	0000



dejfson wrote:
> balu jestli si spravne pamatuju tak std_logic anid std_logic_Vector 
> nelze primo vytisknout pomoci write ale musis je prevest na typ integer. 
> Presne z hlavy to nevim, mrknu na to zitra. Videl jsem to nekde
> v te pricurce od doulose
> 
> d.




Další informace o konferenci Hw-list