simulacia v ise7.1

juraj michalek michalek@toolsquare.com
Čtvrtek Únor 23 08:41:39 CET 2006


Len nejak mi to stale nejde. Vystupne premenne mi v Modelsime nakresli 
cervenou ciarou s hodnotou U - asi undefined. Dalej ma zarazilo ze v 
testbenche si mozem nadstavit hodnoty aj pre vystupne signaly - tie ktore 
chcem sledovat.
Moj postup:
new source a vyberem test bench waveform, ktory pomenujem test1.
vyberem zdrojovy subor
v definicii hodin vyberem signal do ktoreho maju ist a hodiny nadstavim na 
single clock s 10us H a 10us L. oneskorenia 1us, cas simulacie 1000us.
v otvorenom grafickom zobrazeni nadstavim na signaloch CE a U_D uroven H
ulozim, vytvori sa nove source file
v zalozke process wiev vyberiem Simulate behavioral VHDL model.

podotykam ze testovany citac je funkcny...

Za nakopnutia dakujem....

S pozdravom Juraj Michálek
www.toolsquare.com
----- Original Message ----- 
From: "Marek Pavlu" <pavlu@HWserver.cz>
To: "'HW-news'" <hw-list@list.hw.cz>
Sent: Wednesday, February 22, 2006 4:50 PM
Subject: RE: simulacia v ise7.1


Zdravim,

Ten testbench ocividne není potreba, zkusil jsem to i bez nej, staci v
modelsimu kliknout na signal, myslim pravym cvakatkem mysi a tam je mzne
nastavit bud primo hodotu 0/1 a nebom si muzete rict o kmitocet, ale zase
ten testbench je prijemnejsi, daji se presne nastavit ns pro 0/1 atd...

S pozdravem,
                Marek Pavlu

//  -----Original Message----- 
//  From: hw-list-bounces@list.hw.cz [mailto:hw-list-bounces@list.hw.cz] On
//  Behalf Of Petr Tošovský
//  Sent: Wednesday, February 22, 2006 11:59 AM
//  To: HW-news
//  Subject: Re: simulacia v ise7.1
//
//  Nevim jak to delaji ostatni, ale ja si do projektu pridam pomoci
//  Project-New Source modul Test Bench. To Vam spusti vyzard ve kterem
//  vyberete pro citac nejspise multiclock a dalsi parametry (vybrani
//  hodinoveho signalu). Pak cely projekt ulozite (bench se objevi ve
//  strukture projektu). Kdyz na nej kliknete tak se Vam dolejsim okne
//  Process view objevi mimo jine i nabidka ModelSim simulator. Z nej
//  vyberete potrebnou simulaci, behavioralni staci pro zacatek, v
//  post-place se zjistuji presna casovani popr. parazitni impulzy.
//  Snad jsem to moc nepopletl.
//
//  Tosa
//
//  juraj michalek napsal(a):
//
//  > Poradite niekto ako v modelSim XEIII 6.0a nasimulujem na vstup clk
//  > urcity pocet hodinovych impulzov? Potrebujem odsimulovat modul
//  > synchronneho citaca napisaneho vo VHDL.
//  >
//  > S pozdravom Juraj Michálek
//  > www.toolsquare.com <http://www.toolsquare.com>
//  >
//  >-----------------------------------------------------------------------

//  -
//  >
//  >_______________________________________________
//  >HW-list mailing list  -  sponsored by www.HW.cz
//  >Hw-list@list.hw.cz
//  >http://list.hw.cz/mailman/listinfo/hw-list
//  >
//  >
//
//  _______________________________________________
//  HW-list mailing list  -  sponsored by www.HW.cz
//  Hw-list@list.hw.cz
//  http://list.hw.cz/mailman/listinfo/hw-list



  _____

avast! Antivirus <http://www.avast.com>  : Odchozi zprava cista.


Virova databaze (VPS): 0608-0, 20.02.2006
Testovano: 22.2.2006 16:50:38
avast! - copyright (c) 2000-2003 ALWIL Software.



_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list

__________ Informacia od NOD32 1.1416 (20060222) __________

Tato sprava bola preverena antivirusovym systemom NOD32.
http://www.eset.sk





Další informace o konferenci Hw-list