VHDL - obousmerna sbernice - vyreseno

Petr Sremr petr.sremr@hwserver.cz
Úterý Duben 25 08:35:06 CEST 2006


Moc dekuju za pomoc, problem vyresen. Uz mi to funguje.

> Ano IBUF8 ... si budes musiet vytvorit sam /vyrobca suciastky ta nenuti 
> pouzivat 8b. zbernice.../. Preto som ti poslal 2 zdrojovky, tam daco je.

Ja blbec ten file IO.HDL prehledl. Ted jsem se do neho podival a s 
radosti mohu konstatovat, ze vcera vecer jsem si tu 8b sbernici napsal 
uplne stejne :-)

> Netvrdim ze to je to co potrebujes, nepoznam casove parametre, ale to je 
 > sposob pre synchr. navrh v FPGA. CPLD znesie toho hodne...

Vyzkousim to...

--
Petr Sremr
HW group



Další informace o konferenci Hw-list