VHDL - obousmerna sbernice

Milan milger@pobox.sk
Pátek Duben 21 12:02:38 CEST 2006


Doporucujem vo vnutri pracovat s 2 nezavilymi zbernicami,
vstupna - rozvedie vstupne data do reg. /zapisovat WR najlepsie synchronne 
ak sa da/
vystupna - vpodstate mux. na zaklade adresy

Obe zbernice spojit na pine pomocou vlozenych komponentov
IBUF - pre vstupnu
OBUFT /OBUFE/ - pre vystupnu trojstavovu, na tomto mieste priamo riadit 3 
stav /signalom RD&CS/

Existuje aj priamy zapis 3 stavu vo VHDL 'Z' ale to nie je efektivny sposob:
 if (adr=1) and (RD='0') then
    BUS <= OBUS1;
 elsif (adr=2) and (RD='0') then
    BUS <= OBUS2;
  else
    BUS <= 'ZZZZZZZZ';
 end if;

Milan
----- Original Message ----- 
From: "Petr Sremr" <petr.sremr@hwserver.cz>
To: <hw-list@list.hw.cz>
Sent: Friday, April 21, 2006 11:26 AM
Subject: VHDL - obousmerna sbernice


Zdravim,

pred casem jsem se pokusel pro XILINX CPLD XC9536XL ve VHDL napsat
obousmernou sbernici pro komunikaci s procesorem s rozhranim:

- D0, D1,..., D7
- WR, RD

Po nekolika vecerech pokusu jsem dospel k tomu, ze jsem si znicil obe
CPLDcka, ktere jsem mel k dispozici.

Tak jsem si koupil novy a zkusil jsem Abel. V nem jsem to napsal bez
problemu.

Ale potrebuju to pro VHDL, protoze celou aplikaci chci napsat ve VHDL.
Tentokrat jde o XC95288XL.

Jak to v tom VHDL napsat??? Dekuju.

--
Petr Sremr
HW group
_______________________________________________
HW-list mailing list  -  sponsored by www.HW.cz
Hw-list@list.hw.cz
http://list.hw.cz/mailman/listinfo/hw-list









__________ Informacia od NOD32 1.1499 (20060421) __________

Tato sprava bola preverena antivirusovym systemom NOD32.
http://www.eset.sk





Další informace o konferenci Hw-list