VHDL - obousmerna sbernice

Petr Sremr petr.sremr@hwserver.cz
Pátek Duben 21 11:26:51 CEST 2006


Zdravim,

pred casem jsem se pokusel pro XILINX CPLD XC9536XL ve VHDL napsat 
obousmernou sbernici pro komunikaci s procesorem s rozhranim:

- D0, D1,..., D7
- WR, RD

Po nekolika vecerech pokusu jsem dospel k tomu, ze jsem si znicil obe 
CPLDcka, ktere jsem mel k dispozici.

Tak jsem si koupil novy a zkusil jsem Abel. V nem jsem to napsal bez 
problemu.

Ale potrebuju to pro VHDL, protoze celou aplikaci chci napsat ve VHDL. 
Tentokrat jde o XC95288XL.

Jak to v tom VHDL napsat??? Dekuju.

--
Petr Sremr
HW group



Další informace o konferenci Hw-list