VHDL dotaz

balu@home daniel.valuch@wanadoo.fr
Pátek Duben 14 11:20:55 CEST 2006


Niesom si uplne isty ci funguje len jednoduche zadanie nWR tak ako v 
programovacich jazykoch. Skuste pouzit celu podmienku

if nWR='0' and ADDR = "00000" then

b.



 
Hynek Sladky wrote:
> Zacal jsem se ucit VHDL, ale nedari se mi prijit na to, jak spravne 
> napsat nasledujici rovnici (problem je zrejme s typem vysledku porovnani 
> ADDR, protoze pokud tu cast vypustim, preklad probehne OK):
>
> WRD <= CS(3) and nWR and (ADDR = "00000");
>
> kde
>
> signal WRD: std_logic;
> nWR: in std_logic;
> CS : in std_logic_vector(3 downto 0);
> ADDR : in std_logic_vector(4 downto 0);
>
> Jake reseni pouzivaji profici? :-)
>
> Diky,
> Hynek Sladky
>   





Další informace o konferenci Hw-list