Re: Třístavový buffer v CPLD

MK info@mcu.cz
Sobota Červenec 2 13:04:40 CEST 2005


> ucili nas VHDL, nie Abel. btw. mozete niekto dat strucny popis Abel-u? 
> Co to je? Kto to pouziva? Ako to vyzera? Na kurze sa o tom ani 
> nezmienili, ucili sme sa VHDL, zmienili sa o Verilog, ale o Abel ani 
> slovo :-)


http://www.mcu.cz/modules/news/index.php?storytopic=12

S pozdravem
MK





Další informace o konferenci Hw-list