Třístavový buffer v CPLD

Pavel Prochazka.zde.cz hacesoft@mujmail.cz
Pátek Červenec 1 19:52:45 CEST 2005


dobry den,

sice pro ABEL to nemam... mam to ve VHDL...

entity transport1 is
  Port (DIR: in std_logic;
        A : buffer std_logic_vector(7 downto 0);
        B : buffer std_logic_vector(7 downto 0));
end transport1;

  architecture behavioral of transport1 is
begin

   process (DIR) begin
  -- Signál DIR určuje tok dat.
   if DIR = '0' THEN A <= B;
    else A <= "ZZZZZZZZ"; end if;

    if DIR = '1' THEN B <= A;
   else B <= "ZZZZZZZZ"; end if;

   end process;
end behavioral;


P.S.: priklad je na mejch www strankach: 
http://prochazka.d2.cz/priklady1.php#29


Pavel Prochazka.zde.cz





Zdenek_Z napsal(a):
> Zdravim,
> jak lze v ABELu nadefinovat tristavovy budic sbernice s prepinanim 
> smeru, neco jako 74xx245 ? Nejak se mi pri patku nedari na to 
> prijit...Bylo by to pro Xilinx XC9536.
> Diky, Zdenek
> 
> _______________________________________________
> HW-list mailing list  -  sponsored by www.HW.cz
> Hw-list@list.hw.cz
> http://list.hw.cz/mailman/listinfo/hw-list
> 
> 
> 
> 



Další informace o konferenci Hw-list