XILINX ISE WEBPACK - komponenty

Magixe magixe@volny.cz
Pondělí Říjen 4 20:25:19 CEST 2004


MK
Kdyby se dala 51 použít dávno bych to udělal
ale problém má jen 32 IO pinu ,,

já potřebuji 36 + 16 IO pinu a v druhém případě 64 + 32 io pinu  Celá věc ..

Jinak co se týče 64bit a nějaké logiky tak po zjednodušení jsem jí nacpal do
XC95144XL  -- první brouk co takové množství pobere o:)))
Předchozí aplikaci která sežrala 73 makrocel zkusím podobným zpusobem
oříznout a snad to tam narvu
a to oříznuté z CPLD nahradí SMD obvudek za 5kč   ..
takže vyřešeno Enjoy

Jinak zadat to nikomu nechci ,,  je mě 17let , ISE WEBPACK jsem vyděl jak si
sám poznamenal před třemi dny
a upřímě řečeno začínám se rozkoukávat. Hold nejsem takový borec co si
přečte manuál a bravurně zvládá
kompletní obsluhu SW architektury obvodů a všechny základní tech.
specifikace.
I tak musím přiznat že bez vaší pomoci a rad bych si ani neškrtnul , takže
děkuji.

Myslím že ten velice hrubý základ a představu Vám , nyní jde už jen o
zdokonalovaní a čtení těch DS o:)))

Mějte se hezky
a děkuji
s pozdravem
Milan







Další informace o konferenci Hw-list