Re: cpld xilinx problém?!

MK info@mcu.cz
Sobota Říjen 2 19:18:11 CEST 2004


>  always@(posedge clk_f)
>   begin
>    shift0 = {shift0[0], in};
>    shift1 = {shift1[1:0], trig0};
>   end

Bych skusil prepsat na:

always@(posedge clk_f)
begin
   shift0 = {shift0[0], in};
   shift1 = {shift1[1], shift1[0], trig0};
end

> assign trig1 = shift1[2]|shift1[1]|shift1[0];

je snad blbe, ne - chybi ti tam vstup z trig0??

assign trig1 = shift1[2]|shift1[1]|shift1[0]|trig0;



S pozdravem

===============================
Milan Kostomlatsky
Belcicka 14 
14100 Praha 4 - Sporilov
Czech Republic
-------------------------------
GSM : +420 604 281 263
ICQ : 167 766 629
HTTP: http://www.mcu.cz
===============================




Další informace o konferenci Hw-list