xilinx a jednorazovy hranou spusteny asynchronni reset

ladmanj@volny.cz ladmanj@volny.cz
Pátek Červenec 2 15:10:33 CEST 2004


Vypada to ze to chodi, uvidime v realu.
Dekuji za opravdu vydatnou pomoc.
Pristi tyden to zverejnim a pak mi to muzete zkritizovat.
Jakub

----- PŮVODNÍ ZPRÁVA -----
Od: "Jakub Slajs" <xSlajsJ@seznam.cz>
Komu: "[HWnews]" <hw-list@mailman.nethouse.cz>
Předmět: Re: xilinx a jednorazovy hranou spusteny asynchronni
reset Datum: 2.7.2004 - 13:37:44

> > Nejsem schopen prijit na to jak se to udela, prestoze to uz
je > > synchronni zalezitost...
> 
> No uz se v tom taky zacinam nejak ztracet, ale co to tedy
zkusit > takhle:
> 
> module shifter0 (out, clk, reset);
> output  out;
> input clk, reset;
> 
> reg [4:0] register;
> reg reset_l;
> 
> always @ (posedge clk)
> begin
> if (~reset & reset_l)
> begin
> register = 0;
> end
> else begin
> register = register << 1;
> register[0] = 1;
> end
> reset_l = reset;
> end
> 
> not negout (out, register[4]);
> endmodule
> 
> V podstate to odpovida tomu kodu ve VHDL co sem uz nekdo
posilal. > Problem ovsem
> nastane pokud reset pujde dolu a nahoru aniz by mezitim tikly
> hodiny - pak
> se ten reset ztrati.
> 
> J.S.
> 
> ____________________________________________________________
> Exkluzivně: Nové Porsche 911 – pojďte se svézt s námi
> http://ad.seznam.cz/clickthru?spotId=74904
> 

-- 
Je super mít několik různých e-mailových adres a se všemi
pracovat v jedné schránce. VOLNÝ mail - až 6 adres k 1 schránce.
http://mail.volny.cz




Další informace o konferenci Hw-list