xilinx a jednorazovy hranou spusteny asynchronni reset

ladmanj@volny.cz ladmanj@volny.cz
Pátek Červenec 2 11:49:54 CEST 2004


always@(posedge clk or posedge reset or posedge set)
if(clk)
 begin
 ...
 end
else ...
Tohle by melo byt korektni a to jsou tri vzajemne asynchroni
udalosti. Ale nevim.
Uz jsem prisel na to jak to udelat, a ted jdu zkusit, jestli to
umim napsat. (ten jeden 1/clk/2 dlouhy puls po sestupne hrane)
Bude to stavovy automat. Ale nedelam si nadeje, ze jsem spravne
pochopil pouziti while(blabla) ve verilogu.



-- 
Je super mít několik různých e-mailových adres a se všemi
pracovat v jedné schránce. VOLNÝ mail - až 6 adres k 1 schránce.
http://mail.volny.cz




Další informace o konferenci Hw-list