xilinx a jednorazovy hranou spusteny asynchronni reset

ladmanj@volny.cz ladmanj@volny.cz
Čtvrtek Červenec 1 18:14:25 CEST 2004


Omlouvam se dovolil jsem si vystoupit z puvodniho threadu, protoze
treba odrazoval od cteni slovem verilog, ktere zde neni zcela na
miste.

Mam posuvny registr, a potrebuji jej nulovat pri sestupne hrane,
ale nesmi byt trvale v resetu pri nizke urovni. Proste reset
pouze pri sestupne hrane.

Nemel by nekdo z Vas radu jak na to? Netrvam na verilogu, treba
si to nejak prelozim. Tisicere diky.
Jakub Ladman 

-- 
Nikdo celý život nepoužívá jeden e-mail. Zkuste si to. VOLNÝ
mail. http://mail.volny.cz




Další informace o konferenci Hw-list